DakgongXF 는이루고자하는어플리케이션의요구조건을충분히만족하고필요한연결성과구성 요소를갖추어서사용자호스트환경에적합한높은프로세싱파워를갖는저렴한사물간통신 솔루션이다. 그림 1 : DakgongXF 평면도

Size: px
Start display at page:

Download "DakgongXF 는이루고자하는어플리케이션의요구조건을충분히만족하고필요한연결성과구성 요소를갖추어서사용자호스트환경에적합한높은프로세싱파워를갖는저렴한사물간통신 솔루션이다. 그림 1 : DakgongXF 평면도"

Transcription

1 DakgongXF 사용설명서 1. 목적사물간통신 Internet of Things 정의는인터넷또는통신수단을통하여센서를통하여사물을모니터링하고구동기로서사물을제어하는것이다. 또한클라우드를통한인터넷기반의통신을하기위해서는컨트롤러모듈에여러가지서버의설치가요구되고이러한서버를모듈내에서실행할수있는마이크로프로세서가필요하다. 따라서프로세서의외부장치인터페이스기능을 FPGA 에맡기고마이크로프로세서를 HTTP 또는어플리케이션서버를위한목적으로주로사용하는것이바람직하다. 이러한경우프로세서선정에있어서중요한사항은운용체계를쉽게변경하고설치할수있으며복잡한소수점연산프로그램을수행하는능력을가지고있어야한다. 또한시스템구성이고정된기존프로세서및컨트롤러에반하여컴퓨팅및입출력요구조건에맞도록인터넷을통하여설치하고컴퓨팅기법을언제든지새롭게재구성할수있어야한다. 사물을모니터링및제어를하기위해서는사용목적의디지털로직및외부입출력단자가요구되며, 이러한외부입출력단자를쉽게구성하고, 창의적인아이디어또는알고리즘을구현하기위한디지털로직신호처리를자유롭게프로그램할수있는 디지털스케치북 이필요하다. 따라서사물간통신에있어서 FPGA 는필수적인구성요소이다. 이러한사물간통신의요구조건을만족시키고사용자입장에서중요사항을고려하여인터넷망을통하여시스템의재구성이가능한 DakgongXF 를개발하게되었다. 또한 DakgongXF 의최종목적은즉시현장에서많은데이터를처리하여통신효율과보안성을높이고, 여러개를모듈을사용하여분산병렬컴퓨팅과네트워크지능을구현하고, 모듈의컴퓨팅시스템구성을사물-사물간서로공유하며사물이자체적으로시스템개선이가능하도록하는사물간통신플랫폼을위한것이다. (Dakgong 의미는 Chickens are flying in the Cloud, XF 는 Xilinx FPGA 계열을나타내며 Altera FPGA 계열의 DakgongAF 도출시예정이다.) 2. 내용 DakgongXF 는하나의 시스템-온-모듈 (system-on-module) 로서, 프로그램개발지원및생태계가좋으며 168MHz 속도로많은인터페이스를갖는 ST Microelectronics STM32F417G ARM Cortex-M4 마이크로컨트롤러와, 1Gbit DDR3 RAM 과많은입출력에접근하는 Xilinx Spartan-6 FPGA 와, IEEE System 또는 ZigBee 무선통신을지원하는 Texas Instruments CC2520 RF Transceiver 및 CC2590 RF Extender 와, 아날로그 ADC 채널의 FIFO 를추가적으로갖는 3 축나노-가속도계인 ST Microelectronics LIS3DH 으로구성되어있다. STM32F4 Controller 와 XC6LX FPGA 는컴스텀가능한메모리인터페이스로 (FSMC) 통신하며상당한용량의데이터를비활성으로보관하는 SPI 플래시에공유한다.

2 DakgongXF 는이루고자하는어플리케이션의요구조건을충분히만족하고필요한연결성과구성 요소를갖추어서사용자호스트환경에적합한높은프로세싱파워를갖는저렴한사물간통신 솔루션이다. 그림 1 : DakgongXF 평면도

3 그림 2 : DakgongXF 내부블록도 3. STM32F417G Microcontroller STM32F427G 마이크로컨트롤러는부동소수점연산기와 DSP 명령어세트를특징적으로 가지며 168MHz 까지동작하는 ARM Cortex-M4 32Bit 아키텍처이다. 또한 1MBit 내부메모리, 192kB SRAM, 내부 Clock 옵션, 2x CAN 인터페이스, 10/100Base Ethernet, SDIO 인터페이스, USB-OTG 와많은 특징을가지고있다. ST Microelectronics Cortex-M4 계열은 에서알수있다. 데이터시트는 다음링크에서구할수있다 :

4 4. Spartan 6 LX16/45 DakgongXF 는 Cortex-M4 기능을증대시키고 1GBit DDR3 RAM 에액세스하며추가적인인터페이스 출력과하드웨어기반의신호처리를위하여 Xilinx 사의 Spartan-6 FPGA 를사용한다. 이디바이스는 CSG324 ball grid package 이며 speed grade -2 으로상용온도에서운용된다. Spartan-6 계열의 FPGA 에대한 정보는다음링크에서구할수있다 : 5. Ethernet PHY DakgongXF 의 Ethernet PHY 는 SMSC 사의 LAN8720A 이며 Reduced Media Interface 를지원한다. Differential RX 와 TX 의신호는 Beam Connector 를통하여 10/100Base Transformer 와 RJ45 소켓또는 Magnet 소켓으로연결한다. 커넥터의구체적핀내용은테이블 1 번을참조한다. LNA8720A 는두개의연결상태 LED 를제공하며 Configuration 상태를나타낼수있다. LNA8720A 데이터시트에서 LED1 인 ETH_LED0 는 LED 를시리얼레지스터로 PULL DOWN 연결되어있으며 PHY 의내부전압레귤레이터를관리하기에때문에항상액티브 HIGH 즉 PULLUP 상태이어야한다. 그리지않으면전압레귤레이터가작동되지않아 PHY 는동작하지않는다. nintsel 기능과 데이터시트에서 LED2 인 Clock 옵션을제어하는 ETH_LED1 은 REF_CLOCK 아웃모드를비활성화하기 위해서모듈핀과 PULL UP 상태로연결한다. PHY 는 RMII Transfer Block 에 DakgongXF 모듈의 50MHz Oscillator 를기본 Clock 으로사용한다. PHY 의데이터시트는 SMSC 의사이트에서다음링크로구할수 있다 : STM32F417(BGA) LNA8720A (Pin #) 기능 / 디폴트 (HIGH/LOW) PA1 (N2) 50MHz Eth CLK (5) / ETH_CLK PC5 (P5) RXD1 (1) Ethernet Receiver PC4 (N5) RXD0 (8) PG15 (B7) RXER (10) Ethernet Error PA7 (R3) CRSDV(11) PA2 (P2) MDIO(12) Media Data IO Table 1 : PHY 와 STM32F417 연결테이블 6. RF Transceiver CC2520 인터넷기반의 LAN 통신과는별도로원거리무선통신을위하여 2.4GHz 공용주파수 ISM 밴드를 사용하는 Texas Instruments 의 2 세대 ZigBee/IEEE RF Transceiver 를 STM32F417 에연결하여 사용한다. 또한저전력으로고성능의 RF 성능을가질수있도록 TI CC2590 의 2.4GHz Range Extender 를 포함하였다. Wi-Fi Ethernet 어답터를사용하여근거리무선통신과는별도로, Drone Control 응용분야와 같이먼거리에서 ZigBee 통신을이용하여명령과신호처리결과데이터를 DakgongXF 에전송할수 있으며, 다른 ZigBee Sensor 및 Actuator 의센서네트워크 Gateway 로서사용할수있다. DakgongXF 는 ZigBee 네트워크의각노드에보내온데이터를종합하여관리하고신호를분석하는무선센서네트워크 (Wireless Sensor Network) 신호처리 Gateway 모듈이다. STM32F417(BGA#) / NET NAME CC2520 (Pin #) / NET NAME 기능 / 디폴트 (HIGH/LOW) PC11 (B13) / SPI3_MISO SO (1) / SPI3_MISO Master IN, Slave OUT PC12 (A12) / SPI3_MOSI SI (2) / SPI3_MOSI Master OUT, Slave IN

5 PG6 (J15) / SPI_SS CS# (3) / SPI3_SS Low active slave select PC10 (B14) / SPI3_SCK SCLK (28) / SPI3_SCK Serial Clock from mater PF7 (K1) / CC_PA_EN GPIO5(4) / PA_EN RF TX AMP EN / PU PB0 (R5) / CC_LNA_EN GPIO4(5) / LNA_EN RF RX Low Noise Amp / PU PB1 (R4) / CC_HGM GPIO3 (6) / HGM High Gain Mode / PU PH11 (L12) / CC_GPIO2 GPIO2 (7) PH10 (L13) / CC_GPIO1 GPIO1 (9) PA0 (N3) / CC_GPIO0 GPIO0 (10) RST# (J1) <= SW11 Button SYS_RESET# (25) JP403 Jumper (always connected) Table 2 : CC2520 와 STM32F417 연결테이블 7. SPI Flash STM32 는직접 M25P MBit SPI Flash 에연결되어있지만 FPGA 와 SPI 에연결할수있도록 하였다. FPGA 에서 SPI Flash 에접근하기위해서는 STM32 GPIO PF11 를입력으로설정하고 Logic LOW 로하여야한다. 사용자는한번에한디바이스가 SPI Flash 에액세스되도록주의하여야한다. SPI Flash 에대한 Datasheet 는다음링크에서구할수있다 : STM32 와 SPI Flash 와연결은 Table 3 과같으며, SPI Flash 와 Spartan 연결은 20 장을 참고하기바란다. STM32F417 (BGA#) M25P128-VME6G (Pin #) 기능 PB15 ( R15) D (5) Master OUT, Slave IN PB14 ( R14) Q (2) Master IN, Slave OUT PI1 (D14) CLK (6) Serial Clock from mater P0 (E14) CS# (1) Low active slave select Table 3 : SPI Flash 와 STM32F417 연결테이블 8. DDR3 RAM DakgongXF 에서 1GBit DDR3 RAM (Micron MT41J64M16LA) 는 Spartan-6 의 Bank3 에연결되어있다. 모든필요한공급, 터미널및기준전압은보드상에서공급되며 Spartan 6 가 RAM 에액세스하기위해서는 Memory Controller Block (MCB) 를사용할수있다. 이러한기능을사용하기위해서는해당 구성이 Spartan 에로드되어야한다. Xilinx ISE IDE 의 CORE GENERATOR 로 Memory Interface Generator (MIG) 을이용하여필요한 IP Core 을생성하여구성하여야한다. RAM 에대한데이터시트는 다음링크에서구할수있다 : DDR3 RAM FPGA DDR3 RAM FPGA DDR3 RAM FPGA A0 J7 DQ0 L2 UDQS P2 A1 J6 DQ1 L1 UDQS# P1 A2 H5 DQ2 K2 LDQS L4 A3 L7 DQ3 K1 LDQS# L3 A4 F3 DQ4 H2 UDM K4 A5 H4 DQ5 H1 LDM K3 A6 H3 DQ6 J3 WE# E3 A7 H6 DQ7 J1 RAS# L5 A8 D2 DQ8 M3 CAS# K5 A9 D1 DQ9 N1 CLK G3 A10 F4 DQ10 N2 CLK# G1

6 A11 D3 DQ11 M1 CKE H7 A12 G6 DQ12 U2 RESET# E4 A13 F6 DQ13 T1 ODT K6 A14 F5 DQ14 T2 ZQ 240ohm PD BA0 F2 DQ15 U1 CS# 100ohm PD BA1 F1 NC for 120ohm for P3, P4, L6, C2 BA2 E1 calibration calibration N4 Table 4 : DDR3 RAM 와 Spartan-6 FPGA 연결테이블 9. 3 축가속도계 LIS3DH DakgongXF 는 Nano 3 축가속도계를포함하고있으며 ±2g/±4g/±8g/±16g 에대하여선택할수있으며 측정주파수는 1Hz 에서 5kHz 범위가속도를측정할수있다. 운동관성에의한 Wake-up/Free-fall 이벤트 및위치이동에대한동기된인터럽트신호를발생시키는특징을가지고있어서 Spartan 6 와연동되어 사용하면모션기반응용및진동분석을위하여매우유용하게사용할수있다. 데이터를보관할수있는 내장된 FIFO 를가지고있어 Spartan 6 에서별도의버퍼메모리를작성할필요가없다. 더구나보조 ADC 의 2 채널을가지고있어서 STM32 에서 Interleaving 방식으로 ADC 를하지않고도고속아날로그 신호를 Spartan 6 에서획득하여분석할수있다. 또한사용자알고리즘의 IP Core 에대한 Test Bench 목적으로사용할수있다. J4 핀의 1 번은 ADC1 채널에 2 번핀은 ADC2 채널에 JP1 헤더 2 개핀은모두 Ground 이다. LIS3DH (Pin#) FPGA (BGA #) 기능 ( 디폴트 ) SCL/SPC (4) LIS_SCK (D12) LIS3DH Input Clock SDA/SDI (6) LIS_SDI (C12) FPGA => LIS3DH SDO/SA0 (7) LIS_SDO (C13) FPGA <= LIS3DH CS (8) LIS_CS# (A13) LIS3DH Chip Select INT1 (11) LIS_INT1 (F12) FPGA <= LIS3DH Interrupt1 INT2 (9) LIS_INT2 (E12) FPGA <= LIS3DH Interrupt2 Table 5 : LIS3DH 와 Spartan-6 FPGA 연결테이블 10. 전원공급 DakgongXF 모듈은외부 5.0V DC 전원으로구동되며전력소모는대략 3W 정도이다. 실제전원소모는 FPGA 구성및 DDR3 메모리액세스에크게달라진다. 저전력구동을위하여 STM32F417 에서 FPGA 전원을제어할수있게하였다. 외부전원 5.0 를가지고 DC 변환기를통하여 3.3V 를만들어 STM32E417 컨트롤러와 Spartan 6 의 Bank 1 과 Ethernet PHY 에직접공급되며, 반면 3.3V 전원에서 FPGA 코어전원 1.2V 와 DDR3 작동전원 1.5V 및 DDR3 터미널전원 0.7V 를보드에서직접생성하여제공한다. FPGA Bank 0 과 Bank2 의 VCCIO 에대한 IO 공급과 VCCAUX 보조공급전원은 3.3V 이하의 2.5V 전원도사용할수있도록분리하여공급되어진다. 즉, Spartan 6 의 IO 들을 LVCMOS-3.3 이아닌 LVCMOS- 2.5 기준으로도사용할수있게하였다. DC JACK 을연결할경우 5.0V DC (+/- 10%) 이상의전원을공급하는것은 DakgongXF 모듈에손상을가하거나모듈을망가트릴수있으므로교환이나수리의보상을받을수없습니다. VCC3.3, VCCAUX 및 VCCIO 를접지에잘못연결하여극성을바꾸는경우손상을가하거나망가트릴수있으므로교환이나수리를보상을받을수없습니다. 또한의도적으로 VCCIO 또는 VCCAUX 를절단하는경우도문제가발생할수있으므로보상을받을수없습니다. STM32F417 (BGA#) FPGA (BGA #) 기능 ( 디폴트 )

7 PH12 (K12) FPGA_AWAKE (P15) AWAKE FPGA (ON) PC0 (M2) FPGA_SUSPEND (R16) HIBERNATE FPGA (OFF) PH14 (E13) FPGA_AUX_POWER (VCCAUX) ON/OFF FPGA VCCAUX (ON) PH15 ( D13) FPGA_IO_POWER(VCCIO) ON/OFF FPGA VCCIO (ON) Table 6 : 전원제어를위한 STM32F417 와 Spartan-6 FPGA 연결테이블 11. 사용온도 DakgongXF 의모든구성품은최소한의상용온도 (0ºC ~ +70ºC) 범위안에서사용되도록된모듈이다. 산업용온도 (-40ºC ~ +85ºC) 급의모듈에대해서는직접연락주시기바랍니다. 12. Reset Functions DakgongXF 는작동시 Reset 을정의하고전압을모니터링하기위하여 Reset Controller 를가지고있다. Reset 을정의하는 STM32F417 의 Watch Dog Interrupt 를사용하기위해서는 Jumper 로서 JP403 의두핀을 연결하여야한다. SYS_RESET# 신호는 STM32, Ethernet PHY, Spartan 6 의 BGA# C4 (IO_LIN_VREF), CC2520 의 25 번 Pin, 에연결되어있으며, 외부하드웨어장치를동기적으로 Reset 할수있도록 Beam Connector JM3 의 4 번 Pin 에연결되어있다. Rest Controller 를통하여수동 Reset 입력은 SW11 푸쉬 버튼을통하여가능하다. 더구나 Watchdog 입력이 1.6 초안에토글되지않으면 Watchdog 은 Reset 신호를 발생한다. Watchdog 은 STM32F417 의 PG11 에연결되어있고이핀을 Tristate 로바꾸거나 Jumper JP403 을제거하여이기능을사용하지않을수있다. 모듈공급시 JP403 은연결되어있지않다. 13. STM32 부트모드 Start-Up 할때 DakgongXF 는 BOOT0 핀을슬라이드 JP4 로서 1 또는 0 을선택한다. BOOT1 핀 PB2 (M6) 는 NC 상태이나, Motherboard 를사용하는경우는 BOOT1 핀 0 인상태로제공되며, 부팅후에는 디폴트로 USB-OTG Pull Down 상태로 USB-OTG 가 Enable 상태이나 PB2 를 Pull Up 하여 USB- OTG 기능을 Disable 할수도있다. Motherboard 없이 DakgongXF 자체만으로 BOOT1 핀을이용하여 부팅모드를선택하기위해서는해당핀을 4.7kOhm 저항을사용하여 Pull Up 또는 Pull Down 하여 Multiplex 하여야한다. 또한부팅모드후에 LED D401 연결된 PI11 핀이나, 또는 LED 400 에연결된 PI10 핀을사용하여부팅모드를표시할수있다. 부팅모드는 Power On 또는 Reset 후에바로읽혀지며, SW11 를 Pushing 하여 Reset 한경우에는사용자 Flash 모드의부팅을할것이다. JLINK 또는 SLINK 를 사용하여디버깅상황이아닌일반적인경우는 Motherboard 에있는 MicroSD 카드의사용자 Flash 메모리로부팅한다. BOOT1 BOOT0 부팅모드 x 0 사용자 Flash 메모리 0 1 시스템메모리 1 1 임베디드 SRAM Table 7 : STM32 부트모드 14. Spartan 6 부트모드 Spartan 6 는 Bank2 에핀 M1 과 M0 를선택한다. 그러나 M0 핀은항상 Pull Up 되어있어서, M1 핀을사용하여부트모드를결정한다. M1 핀은기본적으로 Pull Up 되어있어서 Slave Serial 모드의부팅방식이지만슬라이드 JP200 을사용하여 Pull Down 한경우에는비활성 SPI Flash 메모리를사용하여부팅을할수있다. 이러한경우전원이인가된후 DakgongXF 모듈의 SPI Flash 메모리에서파일을

8 읽어와서 FPGA 시스템을구성한다. Slave Serial 모드의부팅인경우는 STM32F 로부터 FPGA 시스템이구성된다. JTAG 를사용하여구성하는경우는 Slave Serial 모드와같이 M1 핀이 Pull Up 상태이어야한다. 부팅이종료되면청색 LED D202 가켜진다. SW11 를 Pushing 하여 Reset 한경우에는 SPI Flash 방식으로 Spartan 6 를부팅한다. SYS_RESET# 은 Spartan 6 시스템구성을삭제하지는않는다. Slave Serial 부트모드 Slave Serial 부트모드에서는 Spartan6 는적절한구성이 SPI 이나 GPIO 를사용하여 STM32 로부터 제공되지않는한 Unconfigured 상태로존재한다. 필요한구성파일및 Slave serial 부팅방법에대해서는 Xilinx User Guide UG380 을참조하기바란다. 해당링크는다음과같다 : Slave serial 모드인경우 STM32F 는 FLAH OE 인 PF11 은 High 으로, SLAVE SERAIL OE 인 PH3 핀은 Low 로한다, 그리고구성시스템파일을 FPGA 에업로드한다. Spartan 6 Pins (Bank2 BGA#) PF11 = low PH3 = low PF11 = high PH3 = low PF11 = low PH3 = high PF11 = high PH3 = high Program_B_2 (V2) PH2 (F4)/FPGA_PR PH2 (F4) Not Connected NC CCLK_2 (R15) PI1(D14)/SPI2_SCK PI1 (D14) PI1 (D14) NC DONE_2 (V17) PC9(F14)/FPGA_DONE PC9(F14) PC9(F14) PC9(F14) DIN_MISO_2 (R13) PI3(C14)/FPGA_CCLK PI3(C14) PB14(R14) NC PB14(R14)/SPI2_MISO INIT_B_2 (U3) PA8(F15)/FPGA_INIT PA8(F15) PA8(F15) PA8(F15) MOSI_CSI_2 (T13) PB15(R15)/SPI2_MOSI NC PB15(R15) NC CSO_B_2 (V3) PI1(D14)/SPI2_SCK NC PI1(D14) NC Table 8 : Slave serial mode 에서 Spartan 6 의 Configuration 상태에따른 STM32 Pin 연결 15. Clocking 옵션 DakgongXF 는 Ethernet PHY 와 STM32 MAC 에메인클럭을제공하기위하여높은정확도를갖는 50MHz Crystal Oscillator 를가지고있다. 이 50MHz 클럭은 STM32 (PH0) 와 Spartan 6 (GCLK0_2, BGA# V10) 에나누어져 25MHz 로공급되어진다. 25MHz 클럭은높은내부클럭은을생성하도록 PLL 장치에입력되어진다. STM32 는실시간클락운영을 위하여 PC14 와 PC15 핀에 kHz Crystal 을포함하고있다. 16. Beam Connector DakgongXF 의메인 Connecter 는 JM3 와 JM4 로서각 84 핀을갖는 SAMTEC Razor Beam Connector 인 로서 LSHM L-DV-A-S-K-TR 을사용하고있다. Pin 구성에대해서는아래그림을참고하거나 테이블 20 의핀연결데이터를확인하기바란다.

9 그림 3 : JM3 Beam Connector 의신호연결

10 그림 4 : JM4 Beam Connector 의신호연결 17. STM32 과 Spartan 6 간의통신 STM32 는 Compact Flash, SRAM, PSRAM, NOR 또는 NAD 메모리연결을위하여 Flexible Static Memory Controller (FSMC) 를가지고있다. DakgongXF 모듈에서는 Spartan 6 의 Bank 1 과연결하여 16bit 데이터버스를제공하기위하여이메모리컨트롤러를사용한다. FSMC 가원하는인터페이스가아닌 경우에는 STM32 는 SPI3 인터페이스나, 사용자인터페이스를위한 FSMC 버스의 GPIO 라인을사용할수 있다. STM32 USART 의 TX 와 RX 라인도 Spartan 6 와외부장치연결을위하여 Beam Connector 에 연결되어있다. USART 를사용하여 STM32 와 FPGA 간통신을할수있으며, 각각따로외부장치와 별도로연결을 USART 를통하여연결할수있다. STM32 FPGA STM32 FPGA STM32 FPGA FSMC Addresses FSMC Controls FSMC Data PF0 L18 PE0 N17 PD14 F18 PF1 L14 PE1 P18 PD15 G18 PF2 L12 PD7 M13 PD0 L16

11 PF3 L13 PG9 P15 PD1 L15 PF4 K12 PG10 N15 PE7 F16 PF5 K13 PG12 M18 PE8 G13 PF12 H12 PB7 N18 PE9 H14 PF13 J13 PD4 N14 PE10 G16 PF14 F14 PD6 M14 PE11 H15 PF15 H13 PD5 N16 PE12 H16 PG0 F15 PD3 P16 PE13 K18 PG1 G14 USART RX, TX PE14 K17 PG2 F17 PC7 P4 (RX) PE15 K16 PG3 H17 PC6 P3 (TX) PD8 C17 PG4 H18 SPI3 Spartan 6 (Bank 2) PD9 C18 PG5 K15 PC11 V16 (MISO) PD10 D17 PD11 E18 PC12 N5 (MOSI) PD12 D18 PC10 U16 (SCK) PD13 E16 PC6 P6 (SS) PE3 U18 Others PE4 U17 PI9 B14 PC13 D14 PE5 T17 PI7 A14 GPIO_SW0 P12 PE6 P17 PI6 F13 GPIO_LED2 U10 PE2 T18 PI5 E13 GPIO_LED1 N6 PG13 L17 PI4 C15 GPIO_LED0 P7 PG14 M16 PH13 A15 Table 9 : STM32 와 Spartan 6 와연결 18. Programming Interfaces STM32F 와 Spartan 6 는별도로각각의 JTAG 포트를가지고있으며 Spartan 6 의 JATG 는 J1 커넥터 홀을사용하며 J1 핀홀의 1 번은 3.3V 전원, 2 번은 FPGA_TMS, 3 번은 FPGA_TCK, 4 번은 FPGA_TDO, 5 번은 FPGA_TDI 를, 그리고 Ground 는 JP200 헤더의아무핀을 Xilinx USB JTAG Programmer 의해당 케이블을연결하여사용한다. FPGA_TDO 를제외한모든핀은 10k Ohm 으로 3.3V 에 Pull Up 되어있다. STM32 의 mini JTAG 포트는 JP112 로서다음테이블과같으며, Future Design Inc 사의 JLINK-ARM-AD 어답터를사용하여연결한다. ST_RESET# 은 0603 사이즈 0ohm 의 R127 로연결할수도있다. 기본은 연결되어있지않다. ST_JTCK 를제외한신호는 10k Ohm 으로 Pull Up 되어있다. 다만 ST_JTCK 는 개별적으로 10k Ohm 으로 R438 로 Pull Down 되어있다. STM 사의 SLINK 로포팅하는경우는 6 핀 J11 Micro JST connector 에연결한다. Signal Standard JTAG (20 pins) mini-jtag (JP112, 10 pins) SLink (J11, 6 pins) VCC Supply 1,2 VCC3.3 VCC3.3 (1) TRST# 3 ST_TRST# (10) TDI 5 ST_TDI (7) TMS 7 ST_TMS (8) SWDIO (4) TCK 9 ST_TCK (5) SWCLK (2) RTCK 11 Pull Down TDO 13 ST_TDO (3) RESET 15 SYS_RESET# (4) SYS_RESET# (5) GND 4, 6, 8, 10, 12, 14, 16, 18, 20 GND (1) GND (3) No Connect 17, 19 NC NC (6) Table 10 : 일반 JLINK 의 JTAG 과 mini JATG 핀연결

12 19. 기타 DakgongXF 는기본사양은 Spartan 6 LX16 이지만더많은게이트수가많이요구되는경우에옵션으로 LX45 가가능하다. LX16 및 LX45 의 SPI Flash 메모리용량은동일하며 128Mbit 이다. Motherboard 의 D7 LED 불빛이밝아져다가약해지는이유는 Configuration 이후에불필요한 LED 전류를줄여서전원소모를 감소하기위함이다. DakgongXF Motherboard 에는 10/100Base Transformer 와 Ethernet RJ45 Socket, USB-OTG, USB Serial Converter, SDIO MicroSD Card, External Connector 을기본으로장착하고있으며, 전원은 DC 5V 입력, POE (Power on Ethernet IEEE 802.3af) 15.4Watt 용량의 PD (Power Device), Rechargeable Battery Charger 등을포함하고있습니다. USB 전원사용도가능합니다. 추가적인사양에대해서는연락바랍니다. STM32F Firmware 및 Device Driver 개발툴은기본으로 IAR Workbench 6.0 이상버전을사용하며 (Keil uvision3, Eclipse 사용가능 ) STM Microelectronics 사의 STM32F4 CMSIS 라이브러리를사용하여 개발하면된다. 기본적으로 FreeRTOS 이나 Debian Linux 를설치할수있다. STM 의 Open Source 개발 생태계가잘되어있어서관련된 Code 을구하고튜닝하여쉽게원하는디바이스드라이버를개발할수 있다. Spartan 6 는 Xilinx ISE 12.0 이상버전또는 Vivado Design Suite 를사용하여 IP Core 를개발하여, 당연히메모리및 PLL 등필요한소자에대해서 ISE 내의 CORE GEN 툴을자주사용한다. 시뮬레이션을 위해서는 ISE 내의 ISim 또는 ModelSim 사용하는데최근 ISim 의기능이향상되어사용하는문제가없다. 하드웨어디버깅툴인 ChipScope 를사용하여각신호선의타이밍특성을점검한다. 구성하는파일 UCF 을작성하는방법은 Text Editor 또는 PlanAhead 를사용하여생성한다. 또는 Microblaze TM 입출력단자 CPU 기반의 Configuration 으로시스템을구성하고자하는경우는 XPS 툴을 사용하고, Microblaze TM Peripheral 를개발하고자하는경우는 XPS 내의 Peripheral Wizard 를사용한다. Microblaze TM 의외부장치인터페이스버스는 PLB 4.6 (Processor Local Bus) 및 AMBA AXI 4.0 사용한다. IP Core 도해당버스인터페이스대하여개발된다. AXI 기반으로개발할경우는 ISE 12.3 이상버전을 사용하여야한다. 참고 1 : Xilinx PG090 November 2014, LogiCORE IP Product Guide AXI IIC Bus interface, 만약 FSMC 인터페이스를통하여 STM32F 가 DDR3 를액세스하고자한다면 AXI 버스를사용하여야 하며, 당연히 Spartan 6 내에 CoreGen 의 MIG(Memory Interface Generator) 로 DDR3 메모리를연결하는 AXI MPMC(Multi-Ported Memory Controller) 를붙어주어야한다. 참고 2: Xilinx UG416 July 2012, Spartan-6 FPGA Memory Interface Solutions 참고 3: International Journal of Engineering and Advanced Technology (IJEAT) June 2012, Design of Low Power Double Data Rate 3 Memory Controller with AXI compliant 참고 4: International Journal of Computer Science and Technology (IJCST) Oct 2011, Implementation of AXI Design Core with DDR3 Memory Controller for SoC 예제 Projects 및 Sample Code 에대해서제품구매시해당 Git Repository 가안내되어있으며서로간 공유및기여를하여개발자상호간도움이되기를바랍니다. 샘플코드를많이공유한개발자분께는 DakGong 로고의티셔츠및머그를선물합니다.

13 20. Table 7 : Connector Pinout FPGA_BANK1_3 FPGA_BANK2_0 C1 VTTREF IO_L83N_VREF_3 V2 FPGA_PRG_IN PROGRAM_B_2 P15 FPGA_AWAKE IO_L74P_AWAKE_1 D14 PC13 IO_L65P_SCP3_0 P16 FSMC_A23 IO_L74N_DOUT_BUSY_1 U3 INIT IO_L65P_INIT_B_2 L14 FSMC_NL IO_L61P_1 V3 CSO IO_L65N_CSO_B_2 M13 FSMC_A22 IO_L61N_1 C15 PI4 IO_L64P_SCP5_0 F6 DDR3_A13 IO_L55P_M3A13_3 N5 SPI3_MOSI IO_L64P_D8_2 F5 DDR3_A14 IO_L55N_M3A14_3 A15 PH13 IO_L64N_SCP4_0 E4 DDR3_RESET# IO_L54P_M3RESET_3 P6 SPI3_SS IO_L64N_D9_2 D3 DDR3_A11 IO_L54N_M3A11_3 F13 PI6 IO_L63P_SCP7_0 H7 DDR3_CKE IO_L53P_M3CKE_3 T4 CAN2_TX IO_L63P_2 M14 FSMC_NWAIT IO_L53P_1 E13 PI5 IO_L63N_SCP6_0 N14 FSMC_NE4 IO_L53N_VREF_1 V4 CAN2_RX IO_L63N_2 G6 DDR3_A12 IO_L53N_M3A12_3 R3 I2C3_SDB IO_L62P_D5_2 D2 DDR3_A8 IO_L52P_M3A8_3 B14 PI9 IO_L62P_0 U17 FSMC_A20 IO_L52P_M1DQ13_1 A14 PI7 IO_L62N_VREF_0 D1 DDR3_A9 IO_L52N_M3A9_3 F12 LIS_INT1 IO_L51P_0 U18 FSMC_A13 IO_L52N_M1DQ14_1 E12 LIS_INT2 IO_L51N_0 F4 DDR3_A10 IO_L51P_M3A10_3 C13 LIS_SDO IO_L50P_0 T17 FSMC_A19 IO_L51P_M1DQ12_1 A13 LIS_CSn IO_L50N_2 F3 DDR3_A4 IO_L51N_M3A4_3 U5 I2C3_SCL IO_L49P_D3_2 T18 FSMC_A12 IO_L51N_M1DQ12_1 V5 I2C3_SDA IO_L49N_D4_2 E3 DDR3_WE# IO_L50P_M3WE_3 R5 FMC_P_LA37 IO_L48P_D7_2 N15 FSMC_NE3 IO_L50P_M1UDQS_1 T5 FMC_N_LA37 IO_L48N_RDWR_B_VREF_2 E1 DDR3_BA2 IO_L50N_M3BA2_2 N6 GPIO_LED1 IO_L47P_2 H6 DDR3_A7 IO_L49P_M3A7_3 D12 LIS_SCK IO_L47P_0 P17 FSMC_D1 IO_L49P_M1DQ10_1 P7 GPIO_LED0 IO_L47N_2 H5 DDR3_A2 IO_L49N_M3A2_3 C12 LIS_SDI IO_L47N_0 P18 FSMC_A18 IO_L49N_M1DQ11_1 R7 FMC_P_LA36 IO_L46P_2 F2 DDR3_BA0 IO_L48P_M3BA0_3 T7 FMC_N_LA36 IO_L46N_2 N17 FSMC_D0 IO_L48P_HDC_M1DQ8_1 T6 FMC_P_LA35 IO_L45P_2 F1 DDR3_BA1 IO_L48N_M3BA0_3 V6 FMC_N_LA35 IO_L45N_2 N18 FSMC_D15 IO_L48N_M1DQ9_1 N7 FMC_P_LA34 IO_L44P_2 J7 DDR3_A0 IO_L47P_M3A0_3 P8 FMC_N_LA34 IO_L44N_2 M16 FSMC_A24 IO_L47P_FWE_B_M1DQ0_1 U7 FMC_P_LA33 IO_L43P_2 J6 DDR3_A1 IO_L47N_M3A1_3 V7 FMC_N_LA33 IO_L43N_2 M18 FSMC_A25 IO_L47N_LDC_M1DQ1_1 F11 FMC_P_LA19 IO_L42P_0 G3 DDR3_CLK IO_L46P_M3CLK_3 E11 FMC_N_LA19 IO_L42N_0 L17 FSMC_A16 IO_L46P_FCS_B_M1DQ2_1 U8 FMC_P_LA32 IO_L41P_2

14 G1 DDR3_CLK# IO_L46N_M3CLKN_3 B12 FMC_P_LA18 IO_L41P_0 L18 FSMC_D13 IO_L46N_FOE_B_M1DQ3_1 V8 FMC_N_LA32 IO_L41N_VREF_2 L7 DDR3_A3 IO_L45P_M3A3_3 A12 FMC_N_LA18 IO_L41N_0 K17 FSMC_D14 IO_L45P_A1_M1LDQS_1 M8 FMC_P_LA31 IO_L40P_2 K6 DDR3_ODT IO_L45N_M3ODT_3 G11 FMC_P_LA17 IO_L40P_0 K18 FSMC_A17 IO_L45N_A0_M1LDQSN_1 N8 FMC_N_LA31 IO_L40N_2 H4 DDR3_A5 IO_L44P_GCLK21_M3A5_3 F10 FMC_N_LA17 IO_L40N_0 J16 FSMC_D11 IO_L44P_A3_M1DQ6_1 B11 FMC_P_LA16 IO_L39P_0 H3 DDR3_A6 IO_L44N_GCLK20_M3A6_3 A11 FMC_N_LA16 IO_L39N_0 J18 FSMC_D12 IO_L44N_A2_M1DQ7_1 G9 FMC_P_LA15 IO_L38P_0 L5 DDR3_RAS# IO_L43P_GCLK23_M3RASN_3 F9 FMC_N_LA15 IO_L38N_VREF_0 H17 FSMC_D10 IO_L43P_GCLK5_M1DQ4_1 C10 FMC_P_LA14 IO_L37P_GCLK13_0 K5 DDR3_CAS# IO_L43N_GCLK22_IRDY2_M3CAS N_3 A10 FMC_N_LA14 IO_L37N_GCLK12_0 H18 FSMC_D9 IO_L43N_GCLK4_M1DQ5_1 D11 FMC_P_LA13 IO_L36P_GCLK15_0 K4 DDR3_UDM IO_L42P_CLK25_TRDY2_UDM_3 C11 FMC_N_LA13 IO_L36N_GCLK14_0 L15 FSMC_D2 IO_L42P_GCLK7_M1UDM_1 B9 FMC_P_LA12 IO_L35P_GCLK17_0 K3 DDR3_LDM IO_L42N_GCLK24_M3LDM_3 A9 FMC_N_LA12 IO_L35N_GCLK16_0 L16 FSMC_NE1 IO_L42N_CLK6_TRDY1_M1LDM_ 1 D9 FMC_P_CLK0 IO_L34P_GCLK19_0 H2 DDR3_DQ4 IO_L41P_GCLK27_M3DQ4_4 C9 FMC_N_CLK0 IO_L34N_GCLK18_0 K15 FSMC_CLK IO_L41P_CLK9_IRDY1_M1RASN_ 1 B8 FMC_P_LA11 IO_L33P_0 H1 DDR3_DQ5 IO_L41N_GCLK26_M3DQ5_3 A8 FMC_N_LA11 IO_L33N_0 K16 FSMC_A15 IO_L41N_GCLK8_M1CASN_1 T9 FMC_P_LA30 IO_L32P_GCLK29_2 J3 DDR3_DQ6 IO_L40P_M3DQ6_3 G8 FMC_P_LA10 IO_L32P_0 L12 FSMC_NE2 IO_L40P_GCLK11_M1A5_1 V9 FMC_N_LA30 IO_L32N_GCLK28_2 J1 DDR3_DQ7 IO_L40N_M3DQ7_3 F8 FMC_N_LA10 IO_L32N_0 L13 FSMC_A21 IO_L40N_GCLK10_M1A6_1 R8 FMC_P_LA29 IO_L31P_GCLK31_D14_2 J13 FSMC_A0 IO_L39P_M1A3_1 T8 FMC_N_LA29 IO_L31N_GCLK30_D15_2 L3 DDR3_LDQS# IO_L39N_M3LDQSN_3 U10 GPIO_LED2 IO_L30P_GCLK1_D13_2 K14 FPGA_PA0 IO_L39N_M1ODT_1 V10 USERCLK_2 IO_L30N_GCLK0_USERCCLK_2 L4 DDR3_LDQS IO_L38P_M3LDQS_3 R10 FMC_P_CLK1 IO_L29P_GCLK3_2 K2 DDR3_DQ2 IO_L38P_M3DQ2_3 T10 FMC_N_CLK1 IO_L29N_GCLK2_2 G16 FSMC_A10 IO_L38P_A5_M1CLK_1 U11 FMC_P_LA28 IO_L23P_2 K1 DDR3_DQ3 IO_L38N_M3DQ3_3 V11 FMC_N_LA28 IO_L23N_2 G18 FSMC_D7 IO_L38N_A4_M1CLKN_1 M10 FMC_P_LA27 IO_L22P_2 L2 DDR3_DQ0 IO_L37P_M3DQ0_3 N9 FMC_N_LA27 IO_L22N_2 H15 FSMC_A2 IO_L37P_A7_M1A0_1 N10 FMC_P_LA26 IO_L20P_2 L1 DDR3_DQ1 IO_L37N_M3DQ1_3 P11 FMC_N_LA26 IO_L20N_2 H16 FSMC_NOE IO_L37N_A6_M1A1_1 T12 FMC_P_LA25 IO_L19P_2 M3 DDR3_DQ8 IO_L36P_M3DQ8_3 V12 FMC_N_LA25 IO_L19N_2

15 H13 FSMC_A1 IO_L36P_A9_M1BA0_1 R11 FMC_P_LA24 IO_L16P_2 M1 DDR3_DQ11 IO_L36N_M3DQ9_3 T11 FMC_N_LA24 IO_L16N_VREF_2 H14 FSMC_A3 IO_L36N_A8_M1BA1_1 M11 FMC_P_LA23 IO_L15P_2 N2 DDR3_DQ10 IO_L35P_M3DQ11_3 N11 FMC_N_LA23 IO_L15N_2 F17 FSMC_D5 IO_L35P_A11_M1A7_1 U13 FMC_P_LA22 IO_L14P_D11_2 N1 DDR3_DQ9 IO_L35N_M3DQ11_3 V13 FMC_N_LA22 IO_L14N_D12_2 F18 FSMC_D4 IO_L35N_A10_M1A2_2 N12 FPGA_M1 IO_L13P_M1_2 P2 DDR3_UDQS IO_L34P_M3UDQS_2 P12 GPIO_SW0 IO_L13N_D10_2 K12 FSMC_NWE IO_L34P_A13_M1WE_1 T14 FMC_P_LA21 IO_L12P_D1_MISO2_2 P1 DDR3_UDQS# IO_L34N_M3UDQSN_3 V14 FMC_N_LA21 IO_L12N_D2_MISI3_2 K13 FSMC_D3 IO_L34N_A12_M1BA2_1 D8 FMC_P_LA9 IO_L11P_0 T2 DDR3_DQ14 IO_L33P_M3DQ12_3 C8 FMC_N_LA9 IO_L11N_0 E16 FSMC_A7 IO_L33P_A15_M1A10_1 C7 FMC_P_LA8 IO_L10P_0 T1 DDR3_DQ13 IO_L33N_M3DQ13_3 A7 FMC_N_LA8 IO_L10N_0 E18 FSMC_A8 IO_L33N_A14_M1A4_1 E7 FMC_P_LA7 IO_L9P_0 U2 DDR3_DQ12 IO_L32P_M3DQ14_3 E8 FMC_N_LA7 IO_L9N_0 H12 FSMC_A14 IO_L32P_A17_N1A8_1 B6 FMC_P_LA6 IO_L8P_0 U1 DDR3_DQ15 IO_L32N_M3DQ15_3 A6 FMC_N_LA6 IO_L8N_VREF_0 G13 FSMC_A4 IO_L32N_A16_M1A9_1 F7 FMC_P_LA5 IO_L7P_0 D17 FSMC_A9 IO_L31P_A19_M1CKE_1 E6 FMC_N_LA5 IO_L7N_0 M5 VTTREF IO_L31N_VREF_3 C5 FMC_P_LA4 IO_L6P_0 D18 FSMC_NBL1 IO_L31N_A18_M1A12_1 A5 FMC_N_LA4 IO_L6N_0 F14 FSMC_A11 IO_L30P_A21_M1RESET_1 U15 FMC_P_LA20 IO_L5P_2 G14 FSMC_A5 IO_L30N_A20_M1A11_1 B4 FMC_P_LA3 IO_L5P_0 C17 FSMC_A6 IO_L29P_A23_M1A13_1 V15 FMC_N_LA20 IO_L5N_2 C18 FSMC_NBL0 IO_L29N_A22_M1A14_1 A4 FMC_N_LA3 IO_L5N_0 P4 USART6_RX IO_L2P_3 B3 FMC_P_LA2 IO_L4P_0 P3 USART6_TX IO_L2N_3 A3 FMC_N_LA2 IO_L4N_0 F15 FSMC_D8 IO_L1P_A25_1 R13 FPGA_DIN_IN IO_L3P_D0_DIN_MISO_MISO1_2 N3 VTTREF IO_L1N_VREF_3 D6 FMC_P_LA1 IO_L3P_0 F16 FSMC_D6 IO_L1N_A24_VREF_1 T13 SPI2_MOSI_1 IO_L3N_MOSI_CSI_B_MISO0_2 C6 FMC_N_LA1 IO_L3N_0 U16 SPI3_SCK IO_L2P_CMPCLK_2 B2 FMC_P_LA0 IO_L2P_0 V16 SPI3_MISO IO_L2N_CMPMOSI_2 A2 FMC_N_LA0 IO_L2N_0 D4 HSWAPEN IO_L1P_HSWAPEN_0 R15 FPGA_CLK_IN IO_L1P_CCLK_2 C4 SYS_RESET# IO_L1N_VREF_0 T15 CMPMISO IO_L1N_M0_CMPMISO_2

16 V17 FPGA_DONE DONE_2 P13 CMPCS CMPCS_B_2 STM32F417 DDR3 SRAM JM3 JM4 A7 FSMC_A25 L8 ZQ 80 GND 80 GND A8 FSMC_A24 L3 WE# 79 GND 79 GND B8 FSMC_NE4 H1 VREFDQ 78 FPGA_TDI 78 FMC_N_LA37 B10 FSMC_NE3 M8 VREFCA 77 FPGA_TDO 77 FMC_P_LA37 C10 FSMC_NE2 B7 UDQS# 76 FPGA_TCK 76 FMC_N_LA36 K13 FSMC_A15 C7 UDQS 75 FPGA_TMS 75 FMC_P_LA36 K14 FSMC_A14 D3 UDM 74 FMC_N_LA0 74 FMC_N_LA35 K15 FSMC_A13 T2 RESET# 73 FMC_P_LA0 73 FMC_P_LA35 L15 FSMC_A12 J3 RAS# 72 FMC_N_LA2 72 FMC_N_LA34 M7 FSMC_A11 K1 ODT 71 FMC_P_LA2 71 FMC_P_LA34 N7 FSMC_A10 T7 NC/A14 70 FMC_N_LA3 70 FMC_N_LA33 P7 FSMC_A9 T3 NC/A13 69 FMC_P_LA3 69 FMC_P_LA33 R7 FSMC_A8 G3 LDQS# 68 FMC_N_LA4 68 FMC_N_LA32 N6 FSMC_A7 F3 LDQS 67 FMC_P_LA4 67 FMC_P_LA32 P6 FSMC_A6 E7 LDM 66 FMC_N_LA1 66 FMC_N_LA31 K3 FSMC_A5 A3 DQ15 65 FMC_P_LA1 65 FMC_P_LA31 J3 FSMC_A4 B8 DQ14 64 FMC_N_LA5 64 FMC_N_LA30 J2 FSMC_A3 A2 DQ13 63 FMC_P_LA5 63 FMC_P_LA30 H2 FSMC_A2 A7 DQ12 62 FMC_N_LA6 62 FMC_N_LA29 H3 FSMC_A1 C2 DQ11 61 FMC_P_LA6 61 FMC_P_LA29 E2 FSMC_A0 C8 DQ10 60 FMC_N_LA12 60 FMC_N_LA28 R11 FSMC_D12 C3 DQ9 59 FMC_P_LA12 59 FMC_P_LA28 P11 FSMC_D11 D7 DQ8 58 FMC_N_LA8 58 FMC_N_LA27 N11 FSMC_D10 H7 DQ7 57 FMC_P_LA8 57 FMC_P_LA27 R10 FSMC_D9 G2 DQ6 56 FMC_N_LA7 56 FMC_N_LA26 P10 FSMC_D8 H8 DQ5 55 FMC_P_LA7 55 FMC_P_LA26 R9 FSMC_D7 H3 DQ4 54 FMC_N_CLK0 54 FMC_N_LA25 P9 FSMC_D6 F8 DQ3 53 FMC_P_CLK0 53 FMC_P_LA25 P8 FSMC_D5 F2 DQ2 52 GND 52 FMC_N_LA24 R8 FSMC_D4 F7 DQ1 51 GND 51 FMC_P_LA24 B3 FSMC_A22 E3 DQ0 50 FMC_N_LA9 50 FMC_N_LA23 B2 FSMC_A21 L2 CS# 49 FMC_P_LA9 49 FMC_P_LA23 B1 FSMC_A20 K9 CKE 48 FMC_N_LA11 48 FMC_N_LA22 A1 FSMC_A19 K7 CK# 47 FMC_P_LA11 47 FMC_P_LA22 A2 FSMC_A23 J7 CK 46 FMC_N_LA10 46 FMC_N_LA21

17 A3 FSMC_NBL1 K3 CAS# 45 FMC_P_LA10 45 FMC_P_LA21 A4 FSMC_NBL0 M3 BA2 44 FMC_N_LA13 44 FMC_N_CLK1 L14 FSMC_D1 N8 BA1 43 FMC_P_LA13 43 FMC_P_CLK1 M14 FSMC_D0 M2 BA0 42 FMC_N_LA14 42 GND M15 FSMC_A18 N7 A12/BC# 41 FMC_P_LA14 41 GND N13 FSMC_A17 R7 A11 40 FMC_N_LA16 40 PH13 N14 FSMC_A16 L7 A10/AP 39 FMC_P_LA16 39 (No Connected) N15 FSMC_D15 R3 A9 38 FMC_N_LA18 38 PC13 P14 FSMC_D14 T8 A8 37 FMC_P_LA18 37 (No Connected) P15 FSMC_D13 R2 A7 36 FMC_N_LA15 36 (No Connected) B5 FSMC_NL R8 A6 35 FMC_P_LA15 35 ADC3_IN7 A11 FSMC_NE1 P2 A5 34 FMC_N_LA17 34 PI4 B11 FSMC_NWAIT P8 A4 33 FMC_P_LA17 33 ADC3_IN8 C11 FSMC_NWE N2 A3 32 FMC_N_LA19 32 PI5 D10 FSMC_NOE P3 A2 31 FMC_P_LA19 31 ADC12_IN6 D11 FSMC_CLK P7 A1 30 FMC_N_LA20 30 PI6 C12 FSMC_D3 N3 A0 29 FMC_P_LA20 29 ADC123_IN13 B12 FSMC_D2 28 (No Connected) 28 PI7 27 (No Connected) 27 ADC3_IN6 26 (No Connected) 26 PI9 25 I2C3_SMB 25 ADC3_IN4 24 (No Connected) 24 OTG_ALARM 23 I2C3_SCL 23 ADC123_IN10 22 USART6_TX 22 OTG_SW_ON 21 I2C3_SDA 21 DAC2 20 USART6_RX 20 OTG_VBUS 19 SPI3_SCK 19 DAC1 18 ETH_RXN 18 OTG_ID 17 SPI3_SS 17 (No Connected) 16 ETH_RXP 16 OTG_DP 15 SPI3_MOSI 15 SDIO_DET 14 ETH_TXN 14 OTG_DM 13 SPI3_MISO 13 SDIO_D0 12 ETH_TXP 12 (No Connected) 11 (No Connected) 11 SDIO_CMD 10 ETH_LED1# 10 I2C2_SMB 9 CAN1_TX 9 SDIO_CLK 8 ETH_LED0# 8 I2C2_SCL 7 CAN1_RX 7 V_BAT 6 GND 6 I2C2_SDA

18 5 GND 5 GND 4 SYS_RESET# 4 (No Connected) 3 VCC2.5 3 VCC2.5 2 VCC3.3 2 VCC3.3 1 VCC5.0 1 VCC5.0 Table 10 : FPGA 핀맵연결

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

<4D F736F F F696E74202D20342EBACEC6AEB8F0B5E520B9D720C7C3B7A1BDC3B7D220C7C1B7CEB1D7B7A52E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20342EBACEC6AEB8F0B5E520B9D720C7C3B7A1BDC3B7D220C7C1B7CEB1D7B7A52E BC8A3C8AF20B8F0B5E55D> 강좌 : 부트모드및플래시롬프로그램 벼는익을수록고개를숙인다는데 하지만모두고개를숙이고있으면심심하므로 작성일자 :.. 어설픈초보의강좌는계속됩니다. ㅎㅎ목표 : 이번강좌에서는하드웨어적인면에서도좀살펴보고, JTAG 디버거툴말고, 다른방법으로플래시롬을굽는방법에대해서도살펴보겠습니다. 이런부류의임베디드 ARM을공부하는이유는응용보드를제작사용하고자함이므로, 회로도작성, PCB

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

Product Manual

Product Manual Product Manual ELS - MB500A (Xilinx FPGA XC6SLX50-FG8 EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM607-, Digital Empire, #685,Gasan-dong, 서울특별시금천구가산동 568번지 Geumcheon-gu Seoul, Korea. (Zip: 50-0)

More information

Product Manual

Product Manual Product Manual RASPGA EVM (Xilinx FPGA XC6LX16-CFG324 - EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM607-1, Digital Empire, #685,Gasan-dong, 서울특별시금천구가산동 568번지 Geumcheon-gu Seoul, Korea. (Zip: 150-023)

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

C# 언어 사양

C# 언어 사양 Chapter 1. Microblaze and DDR3 Microblaze 을사용한임베디드시스템을구현할경우메모리콘트롤러가필요한경우가 많이있습니다. DDR2, 3 메모리의경우에는동작속도가너무높다보니일반적으로 RTL 로메모리 콘트롤러를구현하지않습니다. 이때사용하는툴이 MIG 라는툴인데 MIG 는메모리콘트롤러가매우높은동작 주파수에서도제대로동작할수있도록메모리콘트롤러를만들어줍니다.

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit System-on-Module(SoM) 솔루션입니다. 1 GHz ARM Cortex -A8 core의높은성능,

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW ST-STM32F 모듈매뉴얼 (Model: V01) WWW.LKEMBEDDED.CO.KR 2011 LK EMBEDDED version 1.0 페이지 1 1. 제품사진및모듈설명 그림. 모듈사진 1.1 제품소개 ST사의 32-Bit 플래시마이크로컨트롤러는임베디드어플리케이션을위해특별히디자인된최신의 ARM Cortex-M3 코어기반을하고있습니다. 32-Bit 플래시마이크로컨트롤러를이용하여학습및제품개발을핛수있는모듈입니다.

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0 TMS0F85 초소형메모리모듈매뉴얼 TMS0F85 초소형메모리모듈제품매뉴얼 V.5. Tel. 0-78-8 Fax. 0-706-8 E-mail. dsptools@syncworks.co.kr [] page TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 09. 0. 9. - Rev..5 09. 0. 09. - Rev..5., 부트모드선택회로설명추가,

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

Product Brief Manual

Product Brief Manual Product Brief Manual ELS-6XM Motion Sensor Processing Unit Embedded and Logic Solution elogics 이로직스 Rm607-1,DigitalEmpire,#685Gasandong,Geumcheon-gu 디지털엠파이어 607-1호 ( 우 : 153-023) 서울특별시금천구가산동 685 Seoul,

More information

1. 구성품 - TMS320F280x 소켓모듈 - 몰렉스 2 핀전원케이블 mm 간격의 2x20 핀해더 - PCB 지지대 2. 주의사항 - 입력전압 : 5V 전류눈금이나와있는파워서플라이를권장 모듈의전원이공급상태에서, 소켓이열리지않도록주의해주시고 모든정전기를배제

1. 구성품 - TMS320F280x 소켓모듈 - 몰렉스 2 핀전원케이블 mm 간격의 2x20 핀해더 - PCB 지지대 2. 주의사항 - 입력전압 : 5V 전류눈금이나와있는파워서플라이를권장 모듈의전원이공급상태에서, 소켓이열리지않도록주의해주시고 모든정전기를배제 TMS320C2000 DSP Module Series 싱크웍스 TMS320F280X 소켓모듈 Rev 1.0 ( 우 ) 463-839 경기도성남시분당구야탑동 513-2 상우빌딩 3 층싱크웍스 Te l. 0 3 1-781- 2 8 1 0 F a x. 0 3 1-7 0 6-2 8 3 4 E - m a i l. d s p t o o l s @ s y n c w o

More information

Microsoft PowerPoint - RPino-GOGO_datasheet.pptx

Microsoft PowerPoint - RPino-GOGO_datasheet.pptx RPino GOGO 사용자설명서 v1.0 Copyright c NulSom Inc. All Rights Reserved. 제품특징및사양 라즈베리파이 (RaspiberryPi) 에장착하여 PC 없이사용하는확장보드 Atmel사의 ATmega328P / 아두이노 (Arduino) 부트로더내장 라즈베리파이를통한아두이노스케치업로드가능 ( 스케치프로그램사용가능 ) 전용스케치로더

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드]

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드] 제품명 : STM_LCD 보드 STM_LCD 보드는 STMF0 Cortex-M 를처음사용하시는분들께편리한개발환경을제공합니다. 다양한통신커넥터를구비하고있어서특히산업용제품을개발하시고자하는분께좋은 Prototype을제공합니다. 부트보드특징 : 선택 STMF0RBT 스위치 SPI 통신 개 RS 통신 개 RS 통신 IC 통신 C 확장 Data Flash: DB 입출력

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

뉴티씨 (NEWTC) ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC ) 1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을

뉴티씨 (NEWTC)   ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC )   1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을 ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC ) 1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을이용한초소형모듈 AM-8PL에내장된 UART 포트로디버깅가능 ( 전원핀포함된뉴티씨 4핀 UART 배열 ) 8MHz 초소형크리스탈클럭채용으로안정성확보및 3.3V/5V 전원에모두동작함. 전원전압 (3.3V/5V)

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

歯Cablexpert제안서.PDF

歯Cablexpert제안서.PDF : CableXpert TM TEL:02-576-0471 / E-mail : lab@dakos.net 1. (CableXpert TM :CME1100 ) (PSTN) 100,. (CableXpert TM ).,,.... : : 324-1 2 : 02-576-0471 : 02-576-0474 : (E-mail : jangpo@dakos.net) 3. 1) S/W

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

JMOD : ATmega128 기본모듈 사용자설명서 제이씨넷

JMOD : ATmega128 기본모듈 사용자설명서 제이씨넷 JMOD-128-1 : ATmega128 기본모듈 사용자설명서 제이씨넷 www.jcnet.co.kr 1. JMOD-128-1 개요 1.1 JMOD-128-1 소개 은 ATmega128 MCU를이용하여, 다양한기능을구현하거나시험해볼수있도록모듈형태로개발된마이크로콘트롤러모듈입니다. 개발자의편의를위하여소형모듈에서는국내최초로프로그램 (ISP)

More information

AN2629

AN2629 Tech Note STM32 Nucleo-64 보드 ( 전원, 커낵터 ) Introduction STM32 Nucleo 는 MCU 의최소기능만으로구성된개발보드로연결되지않은나머지핀들을사용자가자유롭게사용할수있도록확장핀커낵터로연결되어있다. STM32 Nucleo 보드의장점은복잡한회로수정없이다른보드나회로를연결하여빠른기능점검과구현을하는데있다. STM32 Nucleo

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-PIR100은 UART 인터페이스를통하여인체모션감지 (PIR) 데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. ( PIR: Pyroelectric

More information

XDS100S V3 Entry-level JTAG Emulator. Revision XDS100S V3 ( 사용매뉴얼 ) Rev TEL , FAX , .

XDS100S V3 Entry-level JTAG Emulator. Revision XDS100S V3 ( 사용매뉴얼 ) Rev TEL , FAX ,  . Revision 12.01.31 XDS100S V3 ( 사용매뉴얼 ) Rev. 1.0.1 [1] page *Revision History 날짜 내용 2011. 12. 13. - Rev. 1.0 초판완성 2012. 01. 31. - Rev. 1.0.1 연결 Tip 추가 [2] page 목차 XDS100S V3... 1 1 제품구성... 4 2 XDS100S V3의특징...

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx MAI-ISP-STK500 사용자매뉴얼 Manual Ver. 2.5 엠에이아이 (M.A.I) www.maicom.co.kr http://cafe.naver.com/at128mai [ 모델이름 ]: MAI-ISP-STK5000 [ 용도 ]: AVR MCU의내부 Flash Memory 및 EEPROM 에 HEX 파일을 Write W / Readd 할수있는기능을하는프로그래머로써,

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver 1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-MOS100은 UART 인터페이스를통하여토양수분데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. PC에서는 OSTSen-MOS100에서제공하는토양수분데이터를

More information

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론 강 AVR bard & dwlad cable 2009 년도 학기 A V R 을시작하며 AVR bard Pi cfigurati 64 개의핀으로구성 Vcc(2) Avcc() GND(3) 입출력핀 (8*6+5) Clck, reset, Aref, PEN 한개의핀이두개이상의기능을수행하기도한다. Pi cfigurati I/O PORT A~G 의 7 개의 prt 중 A~E

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

USER Manual

USER Manual KOR V1.3 AltPLC 6637 Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel i7-3610qe 2.3GHz - Intel i5-3610me 2.7GHz Memory - 1 x DDR3 1066/1333MHz SODIMM,

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

1. 제품사진및보드설명 < 그림 1.1> AVR-ATmega128 확장형 DEV 보드사진 1.1 제품소개 ATMEL사의 8비트프로세서인 AVR-ATmega128 MCU를이용하여학습및개발을할수있는 AVR 개발보드입니다. 초보자를세심하게배려하기위하여모든부품의부품이름및부품정

1. 제품사진및보드설명 < 그림 1.1> AVR-ATmega128 확장형 DEV 보드사진 1.1 제품소개 ATMEL사의 8비트프로세서인 AVR-ATmega128 MCU를이용하여학습및개발을할수있는 AVR 개발보드입니다. 초보자를세심하게배려하기위하여모든부품의부품이름및부품정 (Model: ET-128DM) 이경남 L K 임베디드 2014 LK EMBEDDED version 1.0 페이지 1 1. 제품사진및보드설명 < 그림 1.1> AVR-ATmega128 확장형 DEV 보드사진 1.1 제품소개 ATMEL사의 8비트프로세서인 AVR-ATmega128 MCU를이용하여학습및개발을할수있는 AVR 개발보드입니다. 초보자를세심하게배려하기위하여모든부품의부품이름및부품정보가개발보드에기록되어있으며,

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-THL100은 UART 인터페이스를통하여온도, 습도, 조도데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에쉽게적용할수있도록소형으로제작되었습니다. PC에서 OSTSen-THL100의온도,

More information

Motor Control Solution

Motor Control Solution Motor Control Solution 마이크로칩에서는 Stepper, Brushed-DC, AC Induction, Switched Reluctance Brushless-DC 등모터종류별특성및동작방식에맞는 MCU가준비되어있어, User가 Motor를이용한 Application을개발하려할때에가장적절한 Solution을제시해줄수있다. 이중 FFT나 PID연산등정밀한모터제어를실행하기위해꼭해주어야하는빠른

More information

Mango-E-Toi Board Developer Manual

Mango-E-Toi Board Developer Manual Mango-E-Toi Board Developer Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15.

목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15. 사설명서 http://www.innopix.kr 목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15. 재생방법 (일반 동영상 플레이어) 3-16.

More information

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu WISOL / SFM11R2D P/N: DATA SHEET Rev.01 WISOL 531-7, Gajang-ro,Osan-si,Gyeonggi-do Rep. of Korea http://www.wisol.co.kr CONTENTS 1. Approval Revision Record... 3 2. Scope... 4 3. Numbering of product...

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

Microsoft PowerPoint - ch07.ppt

Microsoft PowerPoint - ch07.ppt chapter 07. 시스코라우터기본동작 한빛미디어 -1- 학습목표 시스코라우터외적, 내적구성요소 시스코라우터부팅단계 시스코라우터명령어모드 한빛미디어 -2- 시스코라우터구성요소 라우터외부구성요소 (1) [ 그림 ] 2600 라우터전면도 인터페이스카드 전원부 LED 라우터조건 한빛미디어 -3- 시스코라우터구성요소 라우터외부구성요소 (2) [ 그림 ] VTY 를이용한라우터접속

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

29 Ⅰ. 서론 물리학자들이 전파의 이론을 정립한 이후, 이를 기술적으로 실현함은 물론 적정 수준의 19세기 물리학자인 페러데이, 맥스웰, 헤르츠 등의 연구 결과로 인류는 전기장과 자기장의 변화 에 따른 전파를 만들어 낼 수 있게 되었고, 인류에 게 있어 없어서는 안되

29 Ⅰ. 서론 물리학자들이 전파의 이론을 정립한 이후, 이를 기술적으로 실현함은 물론 적정 수준의 19세기 물리학자인 페러데이, 맥스웰, 헤르츠 등의 연구 결과로 인류는 전기장과 자기장의 변화 에 따른 전파를 만들어 낼 수 있게 되었고, 인류에 게 있어 없어서는 안되 Journal of Communications & Radio Spectrum SPECIAL ISSUE 28 TREND REPORT 통신 및 비통신용 전파응용 기술 이슈 및 시사점 글 황태욱 경희대학교 연구교수 (031) 201-3254, twhwang@khu.ac.kr 주제어: 밀리미터파, 테라헤르츠파, 전파응용 기술, ISM 기기 전파자원의 부족문제에 대한

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

Microsoft PowerPoint - chap01-C언어개요.pptx

Microsoft PowerPoint - chap01-C언어개요.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 프로그래밍의 기본 개념을

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt ctober 2006 2006 Q4 AMD 데스크탑 프로세서 소개 2006 / 11 4분기 새 소식! 이제 본격적인 AM2 시즌! 소켓 939와 소켓754는 일부재고제품으로운영후단종 Quad-core, DDR2 메모리, 가상화기술 지원- 미래형 플랫폼 최고 성능의 명예를 이어가는 FX-70, -72 & -74 출시 4X4 Platform, 2-Processor

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 -------------

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 ------------- [ CALLAS Network Player ] Owner s Manual ( 주 ) 금 잔 디 음 향 예.술.을.담.는.스.피.커.과.학 Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Flute-GR_BV199_DOS.indb

Flute-GR_BV199_DOS.indb 안전을 위한 주의사항 사용자의 안전을 지키고 재산상의 손해 등을 막기 위한 내용입니다. 반드시 읽고 올바르게 사용해 주세요. BV-199 사용설명서 차례 1 장. 컴퓨터 시작 차례 3 제품의 특장점 6 사용설명서를 읽기 전에 7 안전을 위한 주의사항 10 사용시 올바른 자세 20 제품의 구성물 23 기본 구성물 23 각 부분의 명칭 24 앞면 24 뒷면 25

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

Microsoft Word - CL5000,5500_KOR_UM_20110321_.doc

Microsoft Word - CL5000,5500_KOR_UM_20110321_.doc 2 차 례 1. 주의 사항... 8 1.1 취급주의... 8 2. Specification... 10 2.1 소개... 10 2.2 규격... 12 3. 명칭과 기능... 14 3.1 CL 5000 - P Type... 14 3.2 기본 설치... 18 3.3 표시부... 19 3.4 기능키... 20 3.5 라벨롤의 설치... 24 4. PROGRAMMING...

More information

KEY 디바이스 드라이버

KEY 디바이스 드라이버 KEY 디바이스드라이버 임베디드시스템소프트웨어 I (http://et.smu.ac.kr et.smu.ac.kr) 차례 GPIO 및 Control Registers KEY 하드웨어구성 KEY Driver 프로그램 key-driver.c 시험응용프로그램 key-app.c KEY 디바이스드라이버 11-2 GPIO(General-Purpose Purpose I/O)

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

USB Manager 1

USB Manager 1 V-USB 1.0 Firmware only USB Driver for AVR MicroController 사 용 설명서 (Rev 1.0) 2011.3 OrientNDT - 1 - 목차 Page 1.V-USB 란?... 3 2.Board 부품구성... 4 3.부품별 기능 요약 3.1 기능 요약... 5 3.2 Size 및 BOM... 6 3.3 Schematic...

More information

슬라이드 1

슬라이드 1 강력한성능! 인터넷 / 업무용데스크탑 PC NX-H Series Desktop PC NX1- H700/H800/H900 NX2- H700/H800/H900 NX1-H Series 사양 Series 제품설명 ( 모델명 ) NX1-H Series, 슬림타입 기본형모델중보급형모델고급형모델 NX1-H800:112SN NX1-H800:324SN NX1-H800:534MS

More information