Microsoft PowerPoint - CHAP-01 [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - CHAP-01 [호환 모드]"

Transcription

1 컴퓨터구성 Lecture #2 Chapter : Digital Logic Circuits Spring, 203 컴퓨터구성 : Spring, 203: No. -

2 Digital Computer Definition Digital vs. nalog Digital computer is a digital system that performs various computational tasks Digital: a limited number of discrete values nalog: an uncountable number of continuous values Digits and Bit Digits: discrete elements Bits: a binary digit Most computers use bits to represent information So, we need to understand the binary system Why bits? Systems function more reliably if only two states are used 컴퓨터구성 : Spring, 203: No. - 2

3 Computer System Definition Hardware computer system is composed of hardware and software Consists of electronic components & electromechanical devices that comprise the physical entity of the device Software Consists of programs composed of a sequence of instructions System Software Collection of programs to make more effective use of computers Eample: Operating systems, Compilers 컴퓨터구성 : Spring, 203: No. - 3

4 Computer Organization Definition Computer organization is concerned with the way the hardware components operate and the way they are connected together to form a system Transparent to computer users Technologies TTL, ECL (in Chap. 2) Device Implementations Gates (ND, OR, ) & Flip-flops (SR, D, JK, T) Control Signals Hardwired, Micro-programmed 컴퓨터구성 : Spring, 203: No. - 4

5 Computer rchitecture Definition Computer architecture is concerned with the structure and the behavior of the computer as seen by the user Instruction set operation encoding, memory addressing techniques Data representations signed magnitude, floating-point ddressing direct, indirect, relative I/O mechanisms memory mapped, I/O mapped 컴퓨터구성 : Spring, 203: No. - 5

6 Computer Hardware Basic 5 Components Random-access Memory (RM) Central processing Unit Input devices Input-Output processor Output devices 컴퓨터구성 : Spring, 203: No. - 6

7 Boolean lgebra Preamble Boolean algebra is an algebra that deals with binary variables and logic operations Following three representations mean the same function Boolean Function lgebraic epression with binary variables, logic operation symbols, parenthesis & equal sign Truth Table relationship between the function and its variables Logic Diagram Epression of Boolean function using logic gates 컴퓨터구성 : Spring, 203: No. - 7

8 Logic Gates Binary information is represented in digital computers by physical quantities called electrical signals Gates are block hardware that process input signals and produce output signals of according to their functions ny gates can be replaced by a combination of NND or NOR Q: Make ND and OR circuits by using NND or NOR gates 컴퓨터구성 : Spring, 203: No. - 8

9 Logic Gates Table 컴퓨터구성 : Spring, 203: No. - 9

10 CMOS Gates Introduction Switch Transistor Logic Symbol B B B N - type C + ns C Gate Drain Source C V C - V BC + B B B P - type C - ps C Gate Source Drain C 컴퓨터구성 : Spring, 203: No. - 0

11 CMOS Gates NOT V DD V DD B B C ps C z z B B v in C ns v out v in C v out 컴퓨터구성 : Spring, 203: No. -

12 CMOS Gates NND / NOR V DD V DD z y z y 컴퓨터구성 : Spring, 203: No. - 2

13 CMOS Gates ND / OR V DD V DD z y z y 컴퓨터구성 : Spring, 203: No. - 3

14 Boolean lgebra Representation Methods Eample: Boolean Function F = + y z Truth Table y z F Logic Diagram y z F 컴퓨터구성 : Spring, 203: No. - 4

15 Boolean lgebra Simplification Why? To minimize the number of logic gates to reduce the propagation delay and the cost while performing the same function How? Using the basic identities of Boolean algebra Using the map simplification It is more difficult to use the algebra but when the involved variables are more than 4, above two methods have the same degree of difficulty 컴퓨터구성 : Spring, 203: No. - 5

16 Boolean lgebra Boolean Identites Identities of Boolean lgebra. + 0 = 3. + = 5. + = 7. + = 9. + y = y +. + (y + z) = ( + y) + z 3. (y + z) = y + z 5. ( + y) = + y 2. 0 = 0 4. = 6. = 8. = 0. y = y 2. (yz) = (y)z 4. + yz = ( + y)( + z) 6. (y) = + y 7. ( ) = Q: Prove Eq. 4 컴퓨터구성 : Spring, 203: No. - 6

17 Boolean lgebra Simplification using Boolean Identites E: Boolean Epression Simplification using Boolean lgebra Given: F = BC + BC + C Sol: F = BC + BC + C = B(C+C ) + C = B + C B C B F F C Q: What if the given epression is too comple?? 컴퓨터구성 : Spring, 203: No. - 7

18 Map Simplification Introduction & Minterm Pictorial method to simplify Boolean epressions Simple and straightforward Using a Karnaugh-Map Minterm: Each combination of variables in a truth table Eample: Minterm Epression F(, y, z) = (, 4, 5, 6) = = = y z + y z + y z + yz Decimal Equivalents of Minterms y z F minterms This is said to be in the form of sum-of-products 컴퓨터구성 : Spring, 203: No. - 8

19 Map Simplification K-map Format of the K-Map Pay attention to the ordering djacent squares iff two squares differ only one bit Squares are marked with 0 or according to minterm values Squares with 0 my be left blank for visibility 0 B BC CD B 컴퓨터구성 : Spring, 203: No. - 9

20 Map Simplification Square Grouping Group adjacent squares that have so that... Grouped squares form a rectangle or square The number of squares in the group is power of 2 (2, 4, 8, 6,..) Make the group size as large as possible Eample: F(,B,C) = (, 3) F = B C + BC = C(B + B) = C BC Find variables that do not change in the group C 컴퓨터구성 : Spring, 203: No. - 20

21 Map Simplification Square Grouping Eamples: F(,B,C) = (3,4,6,7) F(,B,C) = (0,2,4,5,6) F(,B,C,D) = (0,,2,6,8,9,0) BC BC B CD F = BC + C F = C + B F = B D + B C + CD 컴퓨터구성 : Spring, 203: No. - 2

22 Map Simplification Procedure Summary Simplification Procedure Plot a map based on the number of variables Insert s or 0 s in squares based on minterm values Group adjacent squares whose minterms are Represent each grouped square with unchanging variables in the form of sum-of-products 컴퓨터구성 : Spring, 203: No. - 22

23 Map Simplification Product-of-Sums Form Underlying Principle: (F ) = F Find F by squaring 0 s in K-map instead of s Find F (F ) F(,B,C,D) = (0,,2,5,8,9,0) CD B F = B + CD + BD F = ( +B )(C +D )(B +D) 컴퓨터구성 : Spring, 203: No. - 23

24 Map Simplification Don t-care Conditions Don t-care Conditions: the minterms that may produce either 0 or for the function are marked with an X in the map can be used to provide further simplification F(,B,C) = (0, 2, 6) d(,b,c) = (, 3, 5) BC F = + BC F(,B,C) = (0,,2,3,6) 컴퓨터구성 : Spring, 203: No. - 24

25 Combinational Circuits Introduction connected arrangement of logic gates with a set of inputs and outputs n input variables Combinational Circuit m output variables Circuit Design Procedure Problem statement ssign letter symbols to I/O Build a truth table Simplification for each output Logic Diagram 컴퓨터구성 : Spring, 203: No. - 25

26 Combinational Circuits Half dder combinational circuit that performs the arithmetic addition of two bits Truth Table y C S Boolean Function S = y + y = y C = y Logic Diagram y S C 컴퓨터구성 : Spring, 203: No. - 26

27 Combinational Circuits Full dder combinational circuit that performs the arithmetic addition of three bits Truth Table y z C S Boolean Function S = y z C = y + ( y + y )z y z = y + ( y)z Block Diagram F S C 컴퓨터구성 : Spring, 203: No. - 27

28 Flip-Flops Introduction Flip-Flop binary cell capable of storing one bit of information or 2 inputs 2 outputs: one for normal value; the other for compliment Maintains its state until directed by a clock pulse usually, by positive (0 ) clock edge state changes only upon the clock outputs are available always D Q clock Q No state changes Positive clock transition 컴퓨터구성 : Spring, 203: No. - 28

29 Flip-Flops SR Flip-flop Q(t+) = S(t) + R (t)q(t) S Q R Q S 0 0 R Q(t+) 0 Q(t) No change 0 Clear to 0 0 Set to? Indeterminate 컴퓨터구성 : Spring, 203: No. - 29

30 Flip-Flops D Flip-flop Q(t+) = D D Q D Q(t+) Q 0 0 Clear to 0 Set to Q: Can you make a D f/f using an SR f/f? D S Q R Q 컴퓨터구성 : Spring, 203: No. - 30

31 Flip-Flops JK Flip-flop Q(t+) = S(t)R (t) + S(t)R(t)Q (t) + S (t)r (t)q(t) J K Q(t+) J Q K Q Q(t) No change 0 Clear to 0 0 Set to Q (t) Compliment Q: Can you make a JK f/f using an SR f/f? J S Q K R Q 컴퓨터구성 : Spring, 203: No. - 3

32 Flip-Flops T Flip-flop Q(t+) = Q(t) T T Q T Q(t+) Q 0 Q(t) Q (t) No change Compliment Q: Can you make a T f/f using an SR f/f? T S Q R Q 컴퓨터구성 : Spring, 203: No. - 32

33 Flip-Flops Edge-Triggered Flip-flop Revisit Synchronize the state change during a clock pulse State transitions occur when pulse level eceeds a predetermined threshold level Positive-edge or negative-edge transition depends on whether transition occurs on rising edge or falling edge of clock D Q clock Q No state changes Positive clock transition 컴퓨터구성 : Spring, 203: No. - 33

34 Flip-Flops Ecitation Table When designing a sequential circuit We have desired state transitions Need to define f/f s input Ecitation Table Lists required input combination for a given change of states You should fully understand the tables or 컴퓨터구성 : Spring, 203: No. - 34

35 Flip-Flops Ecitation Table SR Flip-Flop Q(t) Q(t+) S R D Flip-Flop Q(t) Q(t+) D JK Flip-Flop Q(t) Q(t+) J K T Flip-Flop Q(t) Q(t+) D 컴퓨터구성 : Spring, 203: No. - 35

36 Sequential Circuits Introduction connection of flip-flops and logic gates with a set of inputs and outputs inputs Combinational Circuit clock Flip-Flops outputs 컴퓨터구성 : Spring, 203: No. - 36

37 Sequential Circuits State Transition Diagram Graphic presentation of states & their changes on certain inputs Eample: /0 D State named as 0/ /0 / B Change of states B 0/0 C 0/ Change of states on an input 0 & output is 컴퓨터구성 : Spring, 203: No. - 37

38 Sequential Circuits Design Procedure Given a problem statement Circuit Design Procedure Draw a State Transition Diagram ssign state Variables to the states Determine the types of flip-flops to use Translate state diagram to State Table with current states, inputs, outputs, net states Etend state table to Ecitation Table including inputs to flip-flops Determine Boolean functions for the outputs and for the inputs of flip-flops Draw a Logic Diagram 컴퓨터구성 : Spring, 203: No. - 38

39 Sequential Circuits Design Eample Problem statement: Design a clocked sequential circuit that goes through a repeated states K, L, M, and N when eternal input is while the state of the circuit remains unchanged when = 0 Procedure State Diagram Variables Flip-flop types State Table Ecitation Table Boolean functions Logic Diagram Step : State Transition Diagram 0 0 K N L M 0 0 컴퓨터구성 : Spring, 203: No. - 39

40 Sequential Circuits Design Eample Procedure State Diagram Variables Flip-flop types State Table Ecitation Table Boolean functions Logic Diagram Step 2: State Variables & Values 4 states 2 bits 2 variables & B for each bit B = 00 for state K, B = 0 for state L, etc. Step 3: Flip-flop types Need: Complimenting JK & T flip-flops No change SR & JK flip-flops We take JK flip-flops 컴퓨터구성 : Spring, 203: No. - 40

41 Sequential Circuits Design Eample Procedure State Diagram Variables Flip-flop types State Table Ecitation Table Boolean functions Logic Diagram Crt State State Table Ecitation Table input B B Net State Flip-flop Inputs J K J B K B 컴퓨터구성 : Spring, 203: No. - 4

42 Sequential Circuits Design Eample Procedure State Diagram Variables Flip-flop types B B State Table Ecitation Table Boolean function Logic Diagram J = B K = B B B J B = K B = 컴퓨터구성 : Spring, 203: No. - 42

43 Sequential Circuits Design Eample Procedure State Diagram Variables J Q Flip-flop types State Table Ecitation Table K Boolean function Logic Diagram J Q B J = B K = B J B = K B = K clock 컴퓨터구성 : Spring, 203: No. - 43

44 Homework #: Problems in Tetbook: -9, -3, -9, -20, -2 Vending Machine Design: Input: 50, 00 Coins Output: cup of coffee when the sum becomes 50 or more Conditions: No changes are paid. Once the sum reaches at over 50, the system restarts from the beginning. Your Job: Draw a logic diagram and show all your works. Due: Net Wednesday ( Monday) before class begins 컴퓨터구성 : Spring, 203: No. - 44

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

Microsoft PowerPoint - CHAP-03 [호환 모드]

Microsoft PowerPoint - CHAP-03 [호환 모드] 컴퓨터구성 Lecture Series #4 Chapter 3: Data Representation Spring, 2013 컴퓨터구성 : Spring, 2013: No. 4-1 Data Types Introduction This chapter presents data types used in computers for representing diverse numbers

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

6자료집최종(6.8))

6자료집최종(6.8)) Chapter 1 05 Chapter 2 51 Chapter 3 99 Chapter 4 151 Chapter 1 Chapter 6 7 Chapter 8 9 Chapter 10 11 Chapter 12 13 Chapter 14 15 Chapter 16 17 Chapter 18 Chapter 19 Chapter 20 21 Chapter 22 23 Chapter

More information

06_ÀÌÀçÈÆ¿Ü0926

06_ÀÌÀçÈÆ¿Ü0926 182 183 184 / 1) IT 2) 3) IT Video Cassette Recorder VCR Personal Video Recorder PVR VCR 4) 185 5) 6) 7) Cloud Computing 8) 186 VCR P P Torrent 9) avi wmv 10) VCR 187 VCR 11) 12) VCR 13) 14) 188 VTR %

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

歯1.PDF

歯1.PDF 200176 .,.,.,. 5... 1/2. /. / 2. . 293.33 (54.32%), 65.54(12.13%), / 53.80(9.96%), 25.60(4.74%), 5.22(0.97%). / 3 S (1997)14.59% (1971) 10%, (1977).5%~11.5%, (1986)

More information

` Companies need to play various roles as the network of supply chain gradually expands. Companies are required to form a supply chain with outsourcing or partnerships since a company can not

More information

step 1-1

step 1-1 Written by Dr. In Ku Kim-Marshall STEP BY STEP Korean 1 through 15 Action Verbs Table of Contents Unit 1 The Korean Alphabet, hangeul Unit 2 Korean Sentences with 15 Action Verbs Introduction Review Exercises

More information

ecorp-프로젝트제안서작성실무(양식3)

ecorp-프로젝트제안서작성실무(양식3) (BSC: Balanced ScoreCard) ( ) (Value Chain) (Firm Infrastructure) (Support Activities) (Human Resource Management) (Technology Development) (Primary Activities) (Procurement) (Inbound (Outbound (Marketing

More information

<BFA9BAD02DB0A1BBF3B1A4B0ED28C0CCBCF6B9FC2920B3BBC1F62E706466>

<BFA9BAD02DB0A1BBF3B1A4B0ED28C0CCBCF6B9FC2920B3BBC1F62E706466> 001 002 003 004 005 006 008 009 010 011 2010 013 I II III 014 IV V 2010 015 016 017 018 I. 019 020 021 022 023 024 025 026 027 028 029 030 031 032 033 034 035 036 037 038 039 040 III. 041 042 III. 043

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

Å©·¹Àγ»Áö20p

Å©·¹Àγ»Áö20p Main www.bandohoist.com Products Wire Rope Hoist Ex-proof Hoist Chain Hoist i-lifter Crane Conveyor F/A System Ci-LIFTER Wire Rope Hoist & Explosion-proof Hoist Mono-Rail Type 1/2ton~20ton Double-Rail

More information

Page 2 of 6 Here are the rules for conjugating Whether (or not) and If when using a Descriptive Verb. The only difference here from Action Verbs is wh

Page 2 of 6 Here are the rules for conjugating Whether (or not) and If when using a Descriptive Verb. The only difference here from Action Verbs is wh Page 1 of 6 Learn Korean Ep. 13: Whether (or not) and If Let s go over how to say Whether and If. An example in English would be I don t know whether he ll be there, or I don t know if he ll be there.

More information

http://www.kbc.go.kr/pds/2.html Abstract Exploring the Relationship Between the Traditional Media Use and the Internet Use Mee-Eun Kang This study examines the relationship between

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

<C0C7B7CAC0C720BBE7C8B8C0FB20B1E2B4C9B0FA20BAAFC8AD5FC0CCC7F6BCDB2E687770>

<C0C7B7CAC0C720BBE7C8B8C0FB20B1E2B4C9B0FA20BAAFC8AD5FC0CCC7F6BCDB2E687770> ꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚ ꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏ 儀 禮 의 社 會 的 機 能 과 變 化 李 顯 松 裵 花 玉 ꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏꠏ ꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚꠚ

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

public key private key Encryption Algorithm Decryption Algorithm 1

public key private key Encryption Algorithm Decryption Algorithm 1 public key private key Encryption Algorithm Decryption Algorithm 1 One-Way Function ( ) A function which is easy to compute in one direction, but difficult to invert - given x, y = f(x) is easy - given

More information

2009년 국제법평론회 동계학술대회 일정

2009년 국제법평론회 동계학술대회 일정 한국경제연구원 대외세미나 인터넷전문은행 도입과제와 캐시리스사회 전환 전략 일시 2016년 3월 17일 (목) 14:00 ~17:30 장소 전경련회관 컨퍼런스센터 2층 토파즈룸 주최 한국경제연구원 한국금융ICT융합학회 PROGRAM 시 간 내 용 13:30~14:00 등 록 14:00~14:05 개회사 오정근 (한국금융ICT융합학회 회장) 14:05~14:10

More information

원고스타일 정의

원고스타일 정의 논문접수일 : 2015.01.05 심사일 : 2015.01.13 게재확정일 : 2015.01.26 유니컨셉 디자인을 활용한 보행환경 개선방안 연구 A Study on Improvement of Pedestrian Environment on to Uniconcept Design 주저자 : 김동호 디지털서울문화예술대학교 인테리어실용미술학과 교수 Kim dong-ho

More information

Output file

Output file 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 An Application for Calculation and Visualization of Narrative Relevance of Films Using Keyword Tags Choi Jin-Won (KAIST) Film making

More information

Journal of Educational Innovation Research 2017, Vol. 27, No. 3, pp DOI: (NCS) Method of Con

Journal of Educational Innovation Research 2017, Vol. 27, No. 3, pp DOI:   (NCS) Method of Con Journal of Educational Innovation Research 2017, Vol. 27, No. 3, pp.181-212 DOI: http://dx.doi.org/10.21024/pnuedi.27.3.201709.181 (NCS) Method of Constructing and Using the Differentiated National Competency

More information

#Ȳ¿ë¼®

#Ȳ¿ë¼® http://www.kbc.go.kr/ A B yk u δ = 2u k 1 = yk u = 0. 659 2nu k = 1 k k 1 n yk k Abstract Web Repertoire and Concentration Rate : Analysing Web Traffic Data Yong - Suk Hwang (Research

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 1......... 2 3..\ 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 " RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

<B3EDB9AEC1FD5F3235C1FD2E687770>

<B3EDB9AEC1FD5F3235C1FD2E687770> 경상북도 자연태음악의 소박집합, 장단유형, 전단후장 경상북도 자연태음악의 소박집합, 장단유형, 전단후장 - 전통 동요 및 부녀요를 중심으로 - 이 보 형 1) * 한국의 자연태 음악 특성 가운데 보편적인 특성은 대충 밝혀졌지만 소박집합에 의한 장단주기 박자유형, 장단유형, 같은 층위 전후 구성성분의 시가( 時 價 )형태 등 은 밝혀지지 않았으므로

More information

Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp DOI: NCS : * A Study on

Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp DOI:   NCS : * A Study on Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp.157-176 DOI: http://dx.doi.org/10.21024/pnuedi.28.3.201809.157 NCS : * A Study on the NCS Learning Module Problem Analysis and Effective

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,... (recall). 2) 1) 양웅, 김충현, 김태원, 광고표현 수사법에 따른 이해와 선호 효과: 브랜드 인지도와 의미고정의 영향을 중심으로, 광고학연구 18권 2호, 2007 여름

300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,... (recall). 2) 1) 양웅, 김충현, 김태원, 광고표현 수사법에 따른 이해와 선호 효과: 브랜드 인지도와 의미고정의 영향을 중심으로, 광고학연구 18권 2호, 2007 여름 동화 텍스트를 활용한 패러디 광고 스토리텔링 연구 55) 주 지 영* 차례 1. 서론 2. 인물의 성격 변화에 의한 의미화 전략 3. 시공간 변화에 의한 의미화 전략 4. 서사의 변개에 의한 의미화 전략 5. 창조적인 스토리텔링을 위하여 6. 결론 1. 서론...., * 서울여자대학교 초빙강의교수 300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,...

More information

Something that can be seen, touched or otherwise sensed

Something that can be seen, touched or otherwise sensed Something that can be seen, touched or otherwise sensed Things about an object Weight Height Material Things an object does Pen writes Book stores words Water have Fresh water Rivers Oceans have

More information

PJTROHMPCJPS.hwp

PJTROHMPCJPS.hwp 제 출 문 농림수산식품부장관 귀하 본 보고서를 트위스트 휠 방식 폐비닐 수거기 개발 과제의 최종보고서로 제출 합니다. 2008년 4월 24일 주관연구기관명: 경 북 대 학 교 총괄연구책임자: 김 태 욱 연 구 원: 조 창 래 연 구 원: 배 석 경 연 구 원: 김 승 현 연 구 원: 신 동 호 연 구 원: 유 기 형 위탁연구기관명: 삼 생 공 업 위탁연구책임자:

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

03.Agile.key

03.Agile.key CSE4006 Software Engineering Agile Development Scott Uk-Jin Lee Division of Computer Science, College of Computing Hanyang University ERICA Campus 1 st Semester 2018 Background of Agile SW Development

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

Page 2 of 5 아니다 means to not be, and is therefore the opposite of 이다. While English simply turns words like to be or to exist negative by adding not,

Page 2 of 5 아니다 means to not be, and is therefore the opposite of 이다. While English simply turns words like to be or to exist negative by adding not, Page 1 of 5 Learn Korean Ep. 4: To be and To exist Of course to be and to exist are different verbs, but they re often confused by beginning students when learning Korean. In English we sometimes use the

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

11¹Ú´ö±Ô

11¹Ú´ö±Ô A Review on Promotion of Storytelling Local Cultures - 265 - 2-266 - 3-267 - 4-268 - 5-269 - 6 7-270 - 7-271 - 8-272 - 9-273 - 10-274 - 11-275 - 12-276 - 13-277 - 14-278 - 15-279 - 16 7-280 - 17-281 -

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

INDUCTION MOTOR 표지.gul

INDUCTION MOTOR 표지.gul INDUCTION MOTOR NEW HSERIES INDUCTION MOTOR HEX Series LEAD WIRE TYPE w IH 1PHASE 4 POLE PERFORMANCE DATA (DUTY : CONTINUOUS) MOTOR TYPE IHPF10 IHPF11 IHPF IHPF22 IHPFN1U IHPFN2C OUTPUT 4 VOLTAGE

More information

204 205

204 205 -Road Traffic Crime and Emergency Evacuation - 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 Abstract Road Traffic Crime

More information

大学4年生の正社員内定要因に関する実証分析

大学4年生の正社員内定要因に関する実証分析 190 2016 JEL Classification Number J24, I21, J20 Key Words JILPT 2011 1 190 Empirical Evidence on the Determinants of Success in Full-Time Job-Search for Japanese University Students By Hiroko ARAKI and

More information

아니라 일본 지리지, 수로지 5, 지도 6 등을 함께 검토해야 하지만 여기서는 근대기 일본이 편찬한 조선 지리지와 부속지도만으로 연구대상을 한정하 기로 한다. Ⅱ. 1876~1905년 울릉도 독도 서술의 추이 1. 울릉도 독도 호칭의 혼란과 지도상의 불일치 일본이 조선

아니라 일본 지리지, 수로지 5, 지도 6 등을 함께 검토해야 하지만 여기서는 근대기 일본이 편찬한 조선 지리지와 부속지도만으로 연구대상을 한정하 기로 한다. Ⅱ. 1876~1905년 울릉도 독도 서술의 추이 1. 울릉도 독도 호칭의 혼란과 지도상의 불일치 일본이 조선 근대기 조선 지리지에 보이는 일본의 울릉도 독도 인식 호칭의 혼란을 중심으로 Ⅰ. 머리말 이 글은 근대기 일본인 편찬 조선 지리지에 나타난 울릉도 독도 관련 인식을 호칭의 변화에 초점을 맞춰 고찰한 것이다. 일본은 메이지유신 이후 부국강병을 기도하는 과정에서 수집된 정보에 의존하여 지리지를 펴냈고, 이를 제국주의 확장에 원용하였다. 특히 일본이 제국주의 확장을

More information

Breathing problems Pa t i e n t: I have been having some breathing problems lately. I always seem to be out of breath no matter what I am d o i n g. ( Nurse : How long have you been experiencing this problem?

More information

<B3EDB9AEC1FD5F3235C1FD2E687770>

<B3EDB9AEC1FD5F3235C1FD2E687770> 오용록의 작품세계 윤 혜 진 1) * 이 논문은 생전( 生 前 )에 학자로 주로 활동하였던 오용록(1955~2012)이 작곡한 작품들을 살펴보고 그의 작품세계를 파악하고자 하는 것이다. 한국음악이론이 원 래 작곡과 이론을 포함하였던 초기 작곡이론전공의 형태를 염두에 둔다면 그의 연 구에서 기존연구의 방법론을 넘어서 창의적인 분석 개념과 체계를 적용하려는

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 데이터베이스및설계 Chap 1. 데이터베이스환경 (#2/2) 2013.03.04. 오병우 컴퓨터공학과 Database 용어 " 데이타베이스 용어의기원 1963.6 제 1 차 SDC 심포지움 컴퓨터중심의데이타베이스개발과관리 Development and Management of a Computer-centered Data Base 자기테이프장치에저장된데이터파일을의미

More information

<BCF6BDC3323030392D31385FB0EDBCD3B5B5B7CEC8DEB0D4C5B8BFEEB5B5C0D4B1B8BBF3BFACB1B85FB1C7BFB5C0CE2E687770>

<BCF6BDC3323030392D31385FB0EDBCD3B5B5B7CEC8DEB0D4C5B8BFEEB5B5C0D4B1B8BBF3BFACB1B85FB1C7BFB5C0CE2E687770> ... 수시연구 2009-18.. 고속도로 휴게타운 도입구상 연구 A Study on the Concept of Service Town at the Expressway Service Area... 권영인 임재경 이창운... 서 문 우리나라는 경제성장과 함께 도시화가 지속적으로 진행되어 지방 지역의 인구감소와 경기의 침체가 계속되고 있습니다. 정부의 다각 적인

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

(Exposure) Exposure (Exposure Assesment) EMF Unknown to mechanism Health Effect (Effect) Unknown to mechanism Behavior pattern (Micro- Environment) Re

(Exposure) Exposure (Exposure Assesment) EMF Unknown to mechanism Health Effect (Effect) Unknown to mechanism Behavior pattern (Micro- Environment) Re EMF Health Effect 2003 10 20 21-29 2-10 - - ( ) area spot measurement - - 1 (Exposure) Exposure (Exposure Assesment) EMF Unknown to mechanism Health Effect (Effect) Unknown to mechanism Behavior pattern

More information

ISO17025.PDF

ISO17025.PDF ISO/IEC 17025 1999-12-15 1 2 3 4 41 42 43 44, 45 / 46 47 48 49 / 410 411 412 413 414 5 51 52 53 54 / 55 56 57 58 / 59 / 510 A( ) ISO/IEC 17025 ISO 9001:1994 ISO 9002:1994 B( ) 1 11 /, / 12 / 1, 2, 3/ (

More information

<32B1B3BDC32E687770>

<32B1B3BDC32E687770> 008년도 상반기 제회 한 국 어 능 력 시 험 The th Test of Proficiency in Korean 일반 한국어(S-TOPIK 중급(Intermediate A 교시 이해 ( 듣기, 읽기 수험번호(Registration No. 이 름 (Name 한국어(Korean 영 어(English 유 의 사 항 Information. 시험 시작 지시가 있을

More information

Microsoft PowerPoint - ch07ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch07ysk2012.ppt [호환 모드] 전자회로 Ch7 CMOS Aplifiers 김영석 충북대학교전자정보대학 202.3. Eail: kiys@cbu.ac.kr k Ch7- 7. General Considerations 7.2 Coon-Source Stae Ch7 CMOS Aplifiers 7.3 Coon-Gate Stae 7.4 Source Follower 7.5 Suary and Additional

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

정진명 남재원 떠오르고 있다. 배달앱서비스는 소비자가 배달 앱서비스를 이용하여 배달음식점을 찾고 음식 을 주문하며, 대금을 결제까지 할 수 있는 서비 스를 말한다. 배달앱서비스는 간편한 음식 주문 과 바로결제 서비스를 바탕으로 전 연령층에서 빠르게 보급되고 있는 반면,

정진명 남재원 떠오르고 있다. 배달앱서비스는 소비자가 배달 앱서비스를 이용하여 배달음식점을 찾고 음식 을 주문하며, 대금을 결제까지 할 수 있는 서비 스를 말한다. 배달앱서비스는 간편한 음식 주문 과 바로결제 서비스를 바탕으로 전 연령층에서 빠르게 보급되고 있는 반면, 소비자문제연구 제46권 제2호 2015년 8월 http://dx.doi.org/10.15723/jcps.46.2.201508.207 배달앱서비스 이용자보호 방안 정진명 남재원 요 약 최근 음식배달 전문서비스 애플리케이션을 이용한 음식배달이 선풍적인 인기를 끌면서 배달앱서비스가 전자상거래의 새로운 거래유형으로 떠오르고 있다. 배달앱서비스는 소비자가 배달앱서비스를

More information

DIY 챗봇 - LangCon

DIY 챗봇 - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

........pdf 16..

........pdf 16.. Abstract Prospects of and Tasks Involving the Policy of Revitalization of Traditional Korean Performing Arts Yong-Shik, Lee National Center for Korean Traditional Performing Arts In the 21st century, the

More information

Buy one get one with discount promotional strategy

Buy one get one with discount promotional strategy Buy one get one with discount Promotional Strategy Kyong-Kuk Kim, Chi-Ghun Lee and Sunggyun Park ISysE Department, FEG 002079 Contents Introduction Literature Review Model Solution Further research 2 ISysE

More information

장양수

장양수 한국문학논총 제70집(2015. 8) 333~360쪽 공선옥 소설 속 장소 의 의미 - 명랑한 밤길, 영란, 꽃같은 시절 을 중심으로 * 1)이 희 원 ** 1. 들어가며 - 장소의 인간 차 2. 주거지와 소유지 사이의 집/사람 3. 취약함의 나눔으로서의 장소 증여 례 4. 장소 소속감과 미의식의 가능성 5.

More information

Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp DOI: * Suggestions of Ways

Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp DOI:   * Suggestions of Ways Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp.65-89 DOI: http://dx.doi.org/10.21024/pnuedi.29.1.201903.65 * Suggestions of Ways to Improve Teaching Practicum Based on the Experiences

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

2 min 응용 말하기 01 I set my alarm for 7. 02 It goes off. 03 It doesn t go off. 04 I sleep in. 05 I make my bed. 06 I brush my teeth. 07 I take a shower.

2 min 응용 말하기 01 I set my alarm for 7. 02 It goes off. 03 It doesn t go off. 04 I sleep in. 05 I make my bed. 06 I brush my teeth. 07 I take a shower. 스피킹 매트릭스 특별 체험판 정답 및 스크립트 30초 영어 말하기 INPUT DAY 01 p.10~12 3 min 집중 훈련 01 I * wake up * at 7. 02 I * eat * an apple. 03 I * go * to school. 04 I * put on * my shoes. 05 I * wash * my hands. 06 I * leave

More information

대한한의학원전학회지26권4호-교정본(1125).hwp

대한한의학원전학회지26권4호-교정본(1125).hwp http://www.wonjeon.org http://dx.doi.org/10.14369/skmc.2013.26.4.267 熱入血室證에 대한 小考 1 2 慶熙大學校大學校 韓醫學科大學 原典學敎室 韓醫學古典硏究所 白裕相1, 2 *117) A Study on the Pattern of 'Heat Entering The Blood Chamber' 1, Baik 1

More information

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX 20062 () wwwexellencom sales@exellencom () 1 FMX 1 11 5M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX D E (one

More information

09김정식.PDF

09김정식.PDF 00-09 2000. 12 ,,,,.,.,.,,,,,,.,,..... . 1 1 7 2 9 1. 9 2. 13 3. 14 3 16 1. 16 2. 21 3. 39 4 43 1. 43 2. 52 3. 56 4. 66 5. 74 5 78 1. 78 2. 80 3. 86 6 88 90 Ex e cu t iv e Su m m a r y 92 < 3-1> 22 < 3-2>

More information

1..

1.. Volume 12, Number 1, 6~16, Factors influencing consultation time and waiting time of ambulatory patients in a tertiary teaching hospital Jee-In Hwang College of Nursing Science, Kyung Hee University :

More information

Journal of Educational Innovation Research 2018, Vol. 28, No. 1, pp DOI: A study on Characte

Journal of Educational Innovation Research 2018, Vol. 28, No. 1, pp DOI:   A study on Characte Journal of Educational Innovation Research 2018, Vol. 28, No. 1, pp.381-404 DOI: http://dx.doi.org/10.21024/pnuedi.28.1.201803.381 A study on Characteristics of Action Learning by Analyzing Learners Experiences

More information

슬라이드 제목 없음

슬라이드 제목 없음 2006-09-27 경북대학교컴퓨터공학과 1 제 5 장서브넷팅과슈퍼넷팅 서브넷팅 (subnetting) 슈퍼넷팅 (Supernetting) 2006-09-27 경북대학교컴퓨터공학과 2 서브넷팅과슈퍼넷팅 서브넷팅 (subnetting) 하나의네트워크를여러개의서브넷 (subnet) 으로분할 슈퍼넷팅 (supernetting) 여러개의서브넷주소를결합 The idea

More information

4번.hwp

4번.hwp Journal of International Culture, Vol.9-1 International Cultural Institute, 2016, 55~63 浅 析 影 响 韩 中 翻 译 的 因 素 A Brief Analysis on Factors that Affects Korean-Chinese Translation 韩 菁 (Han, Jing) 1) < 目

More information

보고서(겉표지).PDF

보고서(겉표지).PDF 11-13 10148-000092- 01 200 1 04 ( ) 2 0 0 1 ( ) E fficient Rules for Oper atin g the Det en tion B asin an d P umpin g St ation ( ) 2 0 0 1. 12 1 2 11-1310148- 000092-01 ( ) E fficien t Ru les for Oper

More information

10주차.key

10주차.key 10, Process synchronization (concurrently) ( ) => critical section ( ) / =>, A, B / Race condition int counter; Process A { counter++; } Process B { counter ;.. } counter++ register1 = counter register1

More information

<B1E2C8B9BEC828BFCFBCBAC1F7C0FC29322E687770>

<B1E2C8B9BEC828BFCFBCBAC1F7C0FC29322E687770> 맛있는 한국으로의 초대 - 중화권 음식에서 한국 음식의 관광 상품화 모색하기 - 소속학교 : 한국외국어대학교 지도교수 : 오승렬 교수님 ( 중국어과) 팀 이 름 : 飮 食 男 女 ( 음식남녀) 팀 원 : 이승덕 ( 중국어과 4) 정진우 ( 중국어과 4) 조정훈 ( 중국어과 4) 이민정 ( 중국어과 3) 탐방목적 1. 한국 음식이 가지고 있는 장점과 경제적 가치에도

More information

슬라이드 1

슬라이드 1 CJ 2007 CONTENTS 2006 CJ IR Presentation Overview 4 Non-performing Asset Company Profile Vision & Mission 4 4 - & 4-4 - & 4 - - - - ROE / EPS - - DreamWorks Animation Net Asset Value (NAV) Disclaimer IR

More information

강의지침서 작성 양식

강의지침서 작성 양식 정보화사회와 법 강의지침서 1. 교과목 정보 교과목명 학점 이론 시간 실습 학점(등급제, P/NP) 비고 (예:팀티칭) 국문 정보화사회와 법 영문 Information Society and Law 3 3 등급제 구분 대학 및 기관 학부(과) 전공 성명 작성 책임교수 법학전문대학원 법학과 최우용 2. 교과목 개요 구분 교과목 개요 국문 - 정보의 디지털화와 PC,

More information

Vol.259 C O N T E N T S M O N T H L Y P U B L I C F I N A N C E F O R U M

Vol.259 C O N T E N T S M O N T H L Y P U B L I C F I N A N C E F O R U M 2018.01 Vol.259 C O N T E N T S 02 06 28 61 69 99 104 120 M O N T H L Y P U B L I C F I N A N C E F O R U M 2 2018.1 3 4 2018.1 1) 2) 6 2018.1 3) 4) 7 5) 6) 7) 8) 8 2018.1 9 10 2018.1 11 2003.08 2005.08

More information

<B7CEC4C3B8AEC6BCC0CEB9AEC7D0322832303039B3E23130BFF9292E687770>

<B7CEC4C3B8AEC6BCC0CEB9AEC7D0322832303039B3E23130BFF9292E687770> 로컬리티 인문학 2, 2009. 10, 257~285쪽 좌절된 세계화와 로컬리티 - 1960년대 한국영화와 재외한인 양 인 실* 50) 국문초록 세계화 로컬리티는 특정장소나 경계를 지칭하는 것이 아니라 관계와 시대에 따 라 유동적으로 변화하는 개념이다. 1960년대 한국영화는 유례없는 변화를 맞이하고 있었다. 그 중 가장 특이할 만한 사실은 미국과 일본의 영화계에서

More information

감각형 증강현실을 이용한

감각형 증강현실을 이용한 대한산업공학회/한국경영과학회 2012년 춘계공동학술대회 감각형 증강현실을 이용한 전자제품의 디자인 품평 문희철, 박상진, 박형준 * 조선대학교 산업공학과 * 교신저자, hzpark@chosun.ac.kr 002660 ABSTRACT We present the recent status of our research on design evaluation of digital

More information

44-4대지.07이영희532~

44-4대지.07이영희532~ A Spatial Location Analysis of the First Shops of Foodservice Franchise in Seoul Metropolitan City Younghee Lee* 1 1 (R) 0 16 1 15 64 1 Abstract The foodservice franchise is preferred by the founders who

More information

H3050(aap)

H3050(aap) USB Windows 7/ Vista 2 Windows XP English 1 2 3 4 Installation A. Headset B. Transmitter C. USB charging cable D. 3.5mm to USB audio cable - Before using the headset needs to be fully charged. -Connect

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

Product A4

Product A4 2 APTIV Film Versatility and Performance APTIV Film Versatility and Performance 3 4 APTIV Film Versatility and Performance APTIV Film Versatility and Performance 5 PI Increasing Performance PES PPSU PSU

More information

소프트웨어개발방법론

소프트웨어개발방법론 사용사례 (Use Case) Objectives 2 소개? (story) vs. 3 UC 와 UP 산출물과의관계 Sample UP Artifact Relationships Domain Model Business Modeling date... Sale 1 1..* Sales... LineItem... quantity Use-Case Model objects,

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

12Á¶±ÔÈŁ

12Á¶±ÔÈŁ Journal of Fashion Business Vol. 5, No. 4. pp.158~175(2001) A Study on the Apparel Industry and the Clothing Culture of North Korea + Kyu Hwa Cho Prof., Dept. of Clothing & Textiles, Ewha Womans University

More information

- 2 -

- 2 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29 - - 30 -

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 김진주 김수연. 초등학생대상장애이해교육에활용된동화에나타난장애인관분석. 특수교육, 2013, 제12권, 제2호, 135-160... 20.,,. 4.,,.,..... 주제어 : 장애이해교육, 동화, 장애인관 1. ( 1 ) Incheon Munhak Elementary School ( )(, E-mail: sooyoun@ginue.ac.kr) Dept. of

More information

- i - - ii - - iii - - iv - - v - - vi - - 1 - - 2 - - 3 - 1) 통계청고시제 2010-150 호 (2010.7.6 개정, 2011.1.1 시행 ) - 4 - 요양급여의적용기준및방법에관한세부사항에따른골밀도검사기준 (2007 년 11 월 1 일시행 ) - 5 - - 6 - - 7 - - 8 - - 9 - - 10 -

More information

2 KHU 글로벌 기업법무 리뷰 제2권 제1호 또 내용적으로 중대한 위기를 맞이하게 되었고, 개인은 흡사 어항 속의 금붕어 와 같은 신세로 전락할 운명에 처해있다. 현대정보화 사회에서 개인의 사적 영역이 얼마나 침해되고 있는지 는 양 비디오 사건 과 같은 연예인들의 사

2 KHU 글로벌 기업법무 리뷰 제2권 제1호 또 내용적으로 중대한 위기를 맞이하게 되었고, 개인은 흡사 어항 속의 금붕어 와 같은 신세로 전락할 운명에 처해있다. 현대정보화 사회에서 개인의 사적 영역이 얼마나 침해되고 있는지 는 양 비디오 사건 과 같은 연예인들의 사 연구 논문 헌법 제17조 사생활의 비밀과 자유에 대한 소고 연 제 혁* I. II. III. IV. 머리말 사생활의 비밀과 자유의 의의 및 법적 성격 사생활의 비밀과 자유의 내용 맺음말 I. 머리말 사람은 누구나 타인에게 알리고 싶지 않은 나만의 영역(Eigenraum) 을 혼자 소중히 간직하 기를 바랄 뿐만 아니라, 자기 스스로의 뜻에 따라 삶을 영위해 나가면서

More information

0125_ 워크샵 발표자료_완성.key

0125_ 워크샵 발표자료_완성.key WordPress is a free and open-source content management system (CMS) based on PHP and MySQL. WordPress is installed on a web server, which either is part of an Internet hosting service or is a network host

More information

<31335FB1C7B0E6C7CABFDC2E687770>

<31335FB1C7B0E6C7CABFDC2E687770> 에너지기후변화교육 4(2):203~211(2014) 203 초등학교 교과서 에너지 단원의 탐구활동과 시각자료 기능 분석 사례 연구 신명경 권경필 * 경인교육대학교 Abstract : This study aimed to analyze energy related inquiry activity and visual materials in elementary textbook.

More information