Microsoft PowerPoint - 9.Serial.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - 9.Serial.pptx"

Transcription

1 8051 Serial 통신 Mode 0 : 동기통신 Mode 123 1,2,3 : 비동기통신 dolicom@naver.com /d li

2 통신시동시에보내는데이터비트수 패럴럴통신 (Parallel) 두지점간데이터시데이터연결수가많다. 8비트를많이사용 LPT(Printer), SCSI(HDD), ATAPI(HDD) 데이터의비트수가많아여러선이필요하므로원거리통신에불리 시리얼이고속통신이가능하여사용이주는추세 시리얼통신 (Serial) 동시에보내는데이터수는하나 패럴럴에비해원거리전송에유리 현재는고속으로전송으로거리제한 (SATA, USB) 패럴럴에비해저속이었으나현재고속이가능 UART, SPI, I2C, USB, SATA(HDD), Serial-SCSI

3 시리얼통신데이터사이분리방식 동기식통신 전송후데이터사이구별을데이터클럭사용 별도의데이터클럭신호필요 비교적고속전송, 가까운거리 - 칩간통신 SPI, I2C 비동기식통신 전송후데이터사이를수신쪽에서구별 별도의데이터클럭이필요없음 비교적저속전송속도, 원거리 장치간통신 ( PC PC, PC - 전자장치 )

4 UART (RS-232C) 두지점간비동기시리얼통신 CPU 의초기부터사용 데이터수 ,6,7,8 로설정가능 에러탐색을위한 EVEN/ODD parity 사용 비교적간단한통신방식, 속도낮음, 원거리 BAUD RATE (BPS 와비슷함 ) 전송라인은디지털전압보다높음 원거리전송 Mark (1) : -3 ~ -12 V SPACE (0) : +3 ~ +12V 높은전압필요

5 SPI Serial Peripheral Interface

6 I2C 수신측에서데이터수신여부확인하기위해송신측에서는 1 로하고수신측에서 ACK 신호를출력한다. SCL 이 1 일때 SDA 가 1 에서 0 으로변하면송신시작

7 RS-232C 타이밍차트 ,6,7,8 비트 EVEN,ODD 1, 비트 0x58 전송예

8 윈도우설정

9 RS-232C 의전압특성 MARK : -3 ~-12 V SPACE : +3 ~ +12V UART 모듈 TX RX CPU MAX232 : 5V <-> -10~+10V MAX3232: 3.3V <-> -10~+10V 자체전원변환회로추가되어별도의파워전환필요없음 커넥터 DS9

10 USART 신호 Name Signal Abbreva tion DTE Origin DCE DB-25 DE-9 (TIA-574) EIA/TIA 561 Yost Common Ground G ,5 Protective Ground PG Transmitted Data TD TxD Received Data RxD Data Terminal Ready DTR Data Set Ready DSR Request To Send RTS Clear To Send CTS Carrier Detect DCD Ring Indicator RI

11 모뎀은우선전화등을이용통신채널을열어야하기때문에바로옆에있는컴 모뎀제어신호퓨터처럼사용할수없다. 따라서모뎀이통신채널을열었는지확 인할필요가있다.

12 clock Serial 관련레지스터

13 TMOD 레지스터 GATE C/T M1 M0 GATE C/T M1 M0 Timer 1 Timer 0 GATE : 외부인터럽트핀 (INT1, INT0) 을이용해서, 타이머를정지 / 동작을제어한다. 1. GATE=1, TR0=1 : INT0=1 타이머0 동작, INT0 정지 GATE=1, TR1=1 1 : INT1=1 1 타이머 1 동작, INT1 정지 2. GATE=0 : INT0,INT1 핀을사용하지않으며, TCON내의 TR0, TR1에따라동작 / 정지된다. C/T (Counter/Timer selector) : 카운터 / 타이머모드를결정 1. C/T=1 : 카운터모드 입력핀T0, T1에서들어오는펄스를센다. C/T=0 : 타이머모드 시스템클럭 /12을센다. M1:M0 : 동작모드설정 4가지모드

14 TCON 레지스터 TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 Timer 1 Timer0 Interrupt TR1/TR0 : 타이머 / 카운터동작 / 정지제어한다. TR0=1 : 타이머 / 카운터 0 동작 TR0=0 : 타이머 / 카운터 0 정지 TF1/TF0 : 타이머 / 카운터오버플로플래그 TF0 : 타이머 / 카운터 0 의카운터레지스터 (TH1, TH0) 가오버플로가되면셋된다. * 이때다음과같이인터럽트처리된다.. - 인터럽트인에이블되어있고 - 인터럽트요청하게되면 - 인터럽트처리가끝나면자동으로클리어된다

15 T2CON 레지스터 0xC TF2 EXF2 RCLK TCLK EXEN2 TR2 CP/T2 CP/RL2 TF2 : Overflow가되면 1로되고 SW로지움. RCLK/TCLK=1이면동작안함. EXF2 : Capture/Reload 일때,T2EX 가오면 1로됨. EXEN2=1 일때동작. - 인터럽트요청 EXF2=1이면 Timer2 Vector로처리-인터럽트루틴실행. - DCEN=1로 Up/Down Counter Mode에서동작안함. RCLK : Serial Mode1/3에서 Receive clock으로사용. TCLK : Serial Mode1/3에서 Transmit clock으로사용. EXEN2 : Timer2 External 동작. TR2 : Timer2의동작시작 / 멈춤설정. CP/T2 : Timer / Counter( 외부입력사용 ) 설정. CP/RL2 : Capture/Reload 선택.

16 Timer2 의동작모드 T2CON TR2 TCLK/RCLK CP/RL2 T2OE 모드 비트 Auto-Reload 모드 비트 Capture 모드 1 1 x x 16 비트 Baud Rate 발생모드 1 x x 1(C/T=0) 16 비트 Programmable Clock-Out 모드 0 x x x 타이머 2 동작 OFF

17 SCON 레지스터 SM0 SM1 SM2 REN TB8 RB8 TI RI RI : 수신완료및인터럽트요청플래그 0- 수신없음, 1- 수신완료 TI : 송신완료및인터럽트요청플래그 0-송신없음또는송신중, 1-수신완료 RB8 : Mode 2,3 시수신 9 번째데이터비트 TB8 : Mode 2,3 시송신할, 9 번째데이터비트 REN : 수신가능제어비트 REN : 수신가능제어비트 0- 수신불가, 1- 수신가능

18 SCON 레지스터 SM0 SM1 SM2 REN TB8 RB8 TI RI SM : 시리얼의동작상태정의 Mode : SM0 SM1 동작 Baud Rate ( 속도 ) 0 00 Shift Register fosc/ 비트 UART 변화 비트 UART fosc/12 또는 fosc/ 비트 UART 변화 SM2 : 모드23 2,3 에서멀티통신관련비트 0 - 싱글통신기능으로동작함. 1 - 수신데이터비트 (RB8) 가 1 인경우만 RI 비트를세트시켜 8051 코어로하여금수신을가능하도록함. 즉, 데이터수신이가능함.

19 SMOD PCON 레지스터 SMOD GP1 GP0 *PD *ILD SMOD : 시리얼클럭타이머 0 사용할때 시리얼포트의기준클록입력소스인타이머 0 의출력펄스와 2 분주된시스템클록을다시 2분주할것인지선택하는비트 0 타이머1 클럭 / 2 : 2분주함 1 타이머 1 의그대로사용 GP1 : 보통목적 (General Purpose) 플래그비트 GP0 : 보통목적 (General Purpose) 플래그비트

20 clock Serial 클럭발생타이머

21 비동기수신인식 HW 로처리 MARK SPACE 데이터신호가 MARK 에서 SPACE 로가면수신시작 전송하는한비트에 16/64개의사이클을사용하여수신시작 MARK 에서 0 으로바뀌는순간부터 16 사이클마다비트를분리 데이터비트사이를이클럭을사용하여시간을구별 16개의주기가끝나면무조건다음데이터로인식

22 타이머를사용한 UART 클럭발생 XTAL oscillator 2 12 Timer 1/2 RX/TX CLOCK

23

24 타이머을사용한클럭발생구조 C/T = 0 C/T = 1 TL1 8bits TM1 Overflow OSC 12 T1 pin 2 1 TR1 TH SMOD C/T = 0 T2 pin C/T = 1 TR2 1 TL2 8bits TH2 8bits RELOAD RCLK 16 TCLK RX CLOCK RCAP2L RCAP2H 16 TX CLOCK

25 SCON:SM1=1 Serial Mode1,3-Timer1 Mode2 OSC 2 PMOD[2]:C/T=0 C/T = 0 C/T = 1 12 T1 pin 1 TCON:TR1 PMOD[1:0]:M1M0=10 TL1 8bits TH1 RELOAD TM1 Overflow PCON 2 PCON:SMOD 0 1 SMOD T2 pin TR2 C/T = 0 C/T = 1 TL2 8bits TH2 8bits RELOAD 1 0 T2CON:RCLK=0 1 0 RCLK 16 TCLK RX CLOCK RCAP2L RCAP2H 16 TX CLOCK T2CON:TCLK=0

26 Serial Mode1,3-Timer2 BaudRate C/T = 0 C/T = 1 TL1 8bits TM1 Overflow OSC 12 2 T1 pin PMOD[6]:C/T=0 C/T = 0 T2 pin C/T = 1 TCON:TR2 TR1 TH1 T2CON:RCLK/TCLK=1 TL2 8bits TH2 8bits RCLK SMOD 1 0 T2CON:RCLK=1 1 RX 16 CLOCK 1 0 RELOAD TCLK RCAP2L RCAP2H 16 T2CON:TCLK=1 TX CLOCK

27 Baud Rate 설정 Saud Rate fosc SMOD C/T Mode Reload Value Mode0 Max: 1MHZ 12MHz X X X X Mode2 Max: 375K 12MHz 1 X X X Modes1,3: MHz FFH MHz FDH MHz FDH MHz FAH MHz F4H MHz E8H MHz DH 110 6MHz H

28 Serial 전송모드 모드 0 데이터의시간위치를알리는동기식. 모드 1 RxD :DataIn/Out 으로사용 TxD : 데이터클럭으로사용 비동기방식 데이터만사용 (8bit) - UART 에러체크를위한 Parity가없다. 모드 2/3 비동기방식 데이터 (8bit)+ 특수 1비트 UART SCON 레지스터의 TB8/RB8 비트를 CPU 에서설정 에러체크를위한 parity 는 8051 의 P 을 TB8 에전송

29 통신모드0 동기전송 (SPI 와유사 ) SBUF 에송신데이터쓰기 Transmit SCOC 에쓰기 (RI 지워짐 ) Receive

30 통신모드 1 비동기전송 Parity 불가능 SBUF 에송신데이터쓰기 Transmit SCOC 에쓰기 (RI 지워짐 ) Receive

31 통신모드 2, 3( 가변속도만다름 ) Transmit Receive

32 Program Serial 프로그램

33 // file : uart.h #ifndef _UART_H #define _UART_H void Init_ SerialTm(void) ; // Serial & Timer 초기화 void putchar(char ch); UART #endif #include <reg8051.h> #include <stdio.h> #include uart.h void putchar(char ch) void main(void) while (!TI); // 시리얼버퍼 SBUF 가비어질때까지대기 SBUF = ch; BYTE i,c; TI =0; Init_SerialTm(); putchar( * ); // 초기화 //////////////////////////////////////////////////////// // Serial & Timer 초기화 void Init_SerialTm(void) TMOD = 0x20; // 타이머1 : 모드2, 내부클럭사용 PCON = 0x00; // 만약에 19200보레이트인경우 SMOD =1 TH1 = 0xfd; // 9600 보레이트로사용 SCON = 0x50; // 시리얼통신모드1 사용 SBUF = 0; // 초기값을 0설정 ( 쓰레기값방지 ) TR1 = 1; // Timer1 run while(1) putchar(. ); // 데이터송신 delay(2); P1= c; // Port1 출력 void delay(int p) int ij; i,j; for(j=0;j<p;j++) for(i=0;i<1000;i++);

34 #ifndef _UART_H #define _UART_H void Init_SerialTm(void) ; // Serial & Timer 초기화 void putchar(char ch); BYTE getchar(); th UART #endif #include <reg8051.h> typedef unsigned char BYTE; void Init_SerialTm(void) // Serial & Timer 초기화 TMOD = 0x20; // 타이머 1 : 모드 2, 내부클럭사용 PCON = 0x00; // 만약에 19200보레이트인경우 SMOD =1 TH1 = 0xfd; // 9600 보레이트로사용 SCON = 0x50; // 시리얼통신모드1 사용 SBUF = 0; // 초기값을 0설정 ( 쓰레기값방지 ) TR1 = 1; // Timer1 run void putchar(char c) while (!TI); // 시리얼버퍼 SBUF가비어질때까지대기 SBUF = c; TI =0; BYTE getchar() BYTE ch; while(!ri); // 수신버퍼 ch = SBUF; RI=0; return ch; #include <stdio.h> #include uart.h void main(void) BYTE ch, cnt; Init_SerialTm(); putchar( * ); // 초기화 while(1) ch= getchar(); // 데이터수신 cnt++; // 수신데이터 1증가 P1 = cnt; // LED에데이터표시 putchar(ch); // 데이터송신 void delay(int p) int ij i,j; for(j=0;j<p;j++) for(i=0;i<1000;i++);

35 #ifndef _UART_H #define _UART_H UART void Init_SerialTm(void) ; // Serial & Timer 초기화 void putchar(char ch); #endif #include <reg8051.h> void Init_SerialTm(void) // Serial & Timer 초기화 TMOD = 0x21; // 타이머1 : 모드2, 내부클럭사용 // 타이머0 : mode 1, 내부클럭사용 PCON = 0x00; // 만약에 19200보레이트인경우 SMOD =1 TH1 = 0xfd; // 9600 보레이트로사용 SCON = 0x50; // 시리얼통신모드1 사용 SBUF = 0; // 초기값을 0설정 ( 쓰레기값방지 ) TR1 = 1; // Timer1 run void putchar(char ch) while (!TI); // 시리얼버퍼 SBUF가비어질때까지대기 SBUF = ch; TI =0; // 시리얼포트의인터럽트벡터 void RxUart(void) interrupt 4 BYTE ch; EA = 0; // 모든인터럽트디스인에이블 (disable) if (RI) g_uch = SBUF; RI = 0; SBUF = g_uch; EA = 1; // 모든인터럽트인에이블 (enable) #include <reg8051.h> #include uart.h BYTE g_uch; // UART 수신문자 BYTE g_ RxFlag; // 수신이되었는지를나타냄 unsigned int g_tmcount; // Timer0 인터럽트에의해 1 증가 void main(void) BYTE cnt; Init_SerialTm(); g_tmcount = 0; cnt = 0; putchar( * ); // 초기화 TR0 = 1; // 타이머0 실행 ET0 = 1; // 타이머0인터럽트인에이블 ( enable) EA = 1; while(1) if (g_rxflag) putchar(g_uch); // 데이터송신 g_rxflag = 0; if (g_tmcount t & 0xFF00) P1= cnt++; // Port1 출력 // 타이머 0 오버풀로워 ( Overflow) void intrtm0(void) interrupt 1 TR0 = 0; // 타이머0 정지 TH0 = 0xf7; // 인터럽트기간을 2ms? 2170 TL0 = 0x86; // M/12=0.9216us-RELOAD g_tmcount ++; TR0 = 1; // 타이머0을다시시작

36 #include <stdio.h> void main(void) unsigned int cnt; void Init_Uart(void) SCON = 0x40; // mode 1, 8-bit UART, disable rcvr TMOD = 0x20; // timer 1, mode 2, 8-bit reload PCON &= 0x7f; // SMOD = 0 TH1 = 0xfd; // reload value for 19,200 baud TR1 = 1; // timer 1 run TI = 1; // set TI to send first char of UART Init_Uart(); printf( Hello\n ); putchar( O ); putchar( K ); putchar( \n ); cnt = 0; while(1) if (! (cnt & 0x0FFF)) printf("%d ", cnt); cnt++;

37 TX Interrupt 예 #include <reg8051.h> void Init_SerialTm(void) // Serial & Timer 초기화 TMOD = 0x20; // 타이머1 : 모드2 PCON = 0x80; // 19200인경우 SMOD =1 TH1 = 0xfd; // SCON = 0x52; // 시리얼통신모드 1 사용 SBUF = 0; // 초기값을 0설정 ( 쓰레기값방지 ) ES = 1; // IE ES:SerialPorfinterruptenablebit TR1 = 1; // Timer1 run void putchar(char ch) while (!TI); // 시리얼버퍼 SBUF가비어질때까지대기 SBUF = ch; TI =0; // 시리얼포트의인터럽트벡터 void Uart_ISR(void) interrupt 4 BYTE ch; SBUF = buffer[g_sucnt]; delay(50); TI = 0; if (buffer[g_sucnt +] ==' 0') g_sucnt = 0; #include <stdio.h> #include uart.h int g_sucnt; char buffer[] = 8051 serial r n"; void main(void) BYTE i,c; Init_SerialTm(); EA = 1; putchar( * ); // 초기화 while(1) putchar(. ); // 데이터송신 delay(2); P1= c; // Port1 출력 void delay(unsigned int k) // delay function while (k--) ;

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

Microsoft PowerPoint - AVR 시리얼 통신.ppt [호환 모드]

Microsoft PowerPoint - AVR 시리얼 통신.ppt [호환 모드] AVR UART 통신 류대우 davidryu@newtc.co.kr 시리얼 (Serial) 통신이란? Serial 통신은하나의신호선을이용해서데이터를비트단위로보내는방식 8 비트비동기식통신콘트롤러 (UART : Universal Asynchronous Receiver Transmitter) 데이터는 LSB 부터 MSB 순으로데이터전송 Serial interface

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

Microsoft PowerPoint - Chapter 8_USART Serial Communication

Microsoft PowerPoint - Chapter 8_USART Serial Communication MEC382 마이크로프로세서응용및실습 USART Serial Communication Jee-Hwan Ryu School of Mechanical Engineering 통신방법 병렬통신 고속데이터전송이필요한곳에서이루어짐 여러개의라인에서동시에이루어짐 직렬통신 한라인에서이루어짐 데이터의송수신속도가느리다 라인수적고멀리까지통신 동기식, 비동기식있음 동기식 : 기준클럭인동기클럭라인과데이터송

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

2주차: 입출력 제어 복습

2주차: 입출력 제어 복습 마이크로프로세서 응용및실습 ` 13-14 주차 : 직렬통신 (2) 한철수 전자공학과 2/35 직렬통신과병렬통신 직렬통신 한가닥의선으로송수신할데이터를차례대로전송하는방식 장점 : 통신선로가적기때문에경제적임 단점 : 전송속도가느림. 송수신약속이복잡해짐 병렬통신 여러가닥의선으로동시에여러개의데이터를전송하는방식 장점 : 전송속도가빠름 단점 : 직렬통신보다비쌈 3/35

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고

인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고 CHAPTER 7 인터럽트 가. 레지스터구조이해하기 나. 엔코더제어하기 인터럽트 (Interrupt) 범용입출력포트에서입출력의내용을처리하기위해매번입출력을요구하는플래그를검사하는일 (Pollong) 에대하여마이크로컨트롤러에게는상당한시간을소비하게만든다. 인터럽트란 CPU가현재처리하고있는일보다급하게처리해야할사건이발생했을때, 현재수행중인일을중단하고급한일을처리한후에본래의일을다시수행하는것을말한다.

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

V. 통신망 기술

V. 통신망 기술 오류검출기법 데이터전송오류 (error) 를검출하는기법 메시지전송시오류검출코드를데이터꼬리부분에부착하여전송하고수신측에서는이를사용하여오류발생여부판단 오류검출기법 패리티검사 블록합검사 (block sum check) 순환중복검사 (CRC : Cyclic Redundancy Check) 1 오류검출의기본원리 E= f(data) E,E = 오류검출코드 f = 오류검출함수

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

Microsoft Word - SMB-63-2_KR_.doc

Microsoft Word - SMB-63-2_KR_.doc 보충 설명서 압소덱스 AX9000TS/TH-U3 (PROFIBUS-DP 사양) SMB-63K-2 머리글 이번에 당사의 압소덱스를 선정해 주셔서 대단히 감사합니다. 압소덱스는 일반 산업용 조립 기계나 검사 기계 등의 간헐 작동 턴테이블 등을 유연하고 정밀도 높게 구동하기 위해 개발된 다이렉트 드라이브 인덱 스 유닛입니다. 본 설명서는 압소덱스 AX9000TS/TH(PROFIBUS-DP

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ UL UART PORT1 void UAR

UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ UL UART PORT1 void UAR IMC-V0.1 예제소스파일 1. UART 소스코드 (page 1-3) 2. Encoder 소스코드 (page 4-7) 3. ADC 소스코드 (page 8-10) UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

K&R2 Reference Manual 번역본

K&R2 Reference Manual 번역본 typewriter structunion struct union if-else if if else if if else if if if if else else ; auto register static extern typedef void char short int long float double signed unsigned const volatile { } struct

More information

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-THL100은 UART 인터페이스를통하여온도, 습도, 조도데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에쉽게적용할수있도록소형으로제작되었습니다. PC에서 OSTSen-THL100의온도,

More information

ATmega128

ATmega128 ATmega128 외부인터럽트실습 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi 외부인터럽트실험 외부인터럽트를사용하기위해관렦레지스터를설정 일반적으로 I/O 포트에대한설정이끝난후에외부인터럽트나타이머 / 카운터설정 PE4~7 번까지 4 개의외부인터럽트 INT4~INT7 까지사용 외부인터럽트사용법요약

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

Microsoft Word - IRM9600x Spec.doc

Microsoft Word - IRM9600x Spec.doc IRM-9600x EM Tech 대전대덕구대화동 289-1 공구상가 5 동 227 호 TEL: (042) 623-4470 - 1 - 1. 주요기능및규격 PWM 변조방식 (Carrier Frequency: 307.2 KHz) Scrambler & Descrambler 기능통신지연 : 2.5 Bit 이하 ( 송신기 : 1Bit, 수신기 : 1.5 Bit) 빠른자동모드전환

More information

정보보안 개론과 실습:네트워크

정보보안 개론과 실습:네트워크 ` 마이크로프로세서설계및실습 12-13 주차강의자료 학습목표 A/D 변환기의제어방법을이해한다 능숙하게 A/D 변환기를제어할수있도록반복실습한다 2/28 아날로그 - 디지털변환회로 아날로그 - 디지털변환회로 (A/D 변환회로 ) 는, 아날로그전기신호를디지털전기신호로변환하는전자회로이다 A/D 컨버터 (ADC: Analog-to-digital converter) 라고도불린다

More information

歯설명서_020925_.PDF

歯설명서_020925_.PDF GMPC-III (Protocol Converter-III) USER S MANUAL 1 1 1 4 2 5 2.1 5 2.2 5 2.3 6 3 7 3.1 7 4 8 4.1 8 4.2 8 5 GMPC-III 9 5.1 9 5.2 I-NET 9 5.3 ( ) 9 5.4 ( ) ( ) 10 6 12 6.1 12 7 GMPC-III 13 7.1 CPU 13 7.2

More information

MAX232 MAXIM사에서생산되는 RS-232통신을가능토록해주는송수신 IC이다. 송수신드라이브를각각 2개씩가지고있다. AVR과컴퓨터가인식하는 0과 1의값이다르기때문에.. 마이컴컴퓨터 이차이를해결해주는것이다. 0 0V -10V 1 5V 10V TTL IC 의전원단자와다

MAX232 MAXIM사에서생산되는 RS-232통신을가능토록해주는송수신 IC이다. 송수신드라이브를각각 2개씩가지고있다. AVR과컴퓨터가인식하는 0과 1의값이다르기때문에.. 마이컴컴퓨터 이차이를해결해주는것이다. 0 0V -10V 1 5V 10V TTL IC 의전원단자와다 Code Vison AVR C ATmega 8535 RS232 시리얼통신 컨넥터와신호선 RS232 통신을위한컨넥터는 9핀과 25핀컨넥터가있으나, 최근에는 9핀컨넥터를많이사용한다. 실제데이터가송수신되는핀은 TXD(3) 와 RXD(2) 이고기능은다음과같다. 9 핀컨넥터의모습 TXD - Transmit Data 비동기식직렬통신장치가외부장치로데이터를보낼때, 직렬통신데이터가나오는신호선

More information

목차 1. UART와 RS232 개요 2. ATMega128의 USART 포트 3. UART로 Hello 보내기 4. UART로 PC와데이터주고받기

목차 1. UART와 RS232 개요 2. ATMega128의 USART 포트 3. UART로 Hello 보내기 4. UART로 PC와데이터주고받기 Chapter. 8 UART HBE-MCU-Multi AVR Jaeheug, Lee 목차 1. UART와 RS232 개요 2. ATMega128의 USART 포트 3. UART로 Hello 보내기 4. UART로 PC와데이터주고받기 UART 와 RS232 개요 UART(Uiversal Asychroous Receiver/Trasmitter) 시리얼기반의통신방식으로일반적으로

More information

AN_0005B_UART

AN_0005B_UART CANTUS-CAN - UART - 32bits EISC Microprocessor CANTUS Ver 1.1 April 24, 213 Advanced Digital Chips Inc. Ver 1.1 CANTUS Application Note History 213-2-19 Released 213-4-24 Modified CANTUS-CAN CANTUS-CAN

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

Microsoft PowerPoint - polling.pptx

Microsoft PowerPoint - polling.pptx 지현석 (binish@home.cnu.ac.kr) http://binish.or.kr Index 이슈화된키보드해킹 최근키보드해킹이슈의배경지식 Interrupt VS polling What is polling? Polling pseudo code Polling 을이용한키로거분석 방어기법연구 이슈화된키보드해킹 키보드해킹은연일상한가! 주식, 펀드투자의시기?! 최근키보드해킹이슈의배경지식

More information

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #10: 시리얼통신 (Serial Comm.) 2015. 5. 26 by 김영주 강의목차 시러얼통신개요 I2C 통신개요 I2C 통신실험 2 3 1. Serial Communication 아두이노통신 아두이노통신개요 아두이노 MCU 와 on-board 장치또는외부연결장치간의통신 통신프로토콜에따른데이터송수신을위해개별적인통신장치

More information

HBE-MCU-Multi 로배우는 마이크로컨트롤러 (AVR 편 ) 마이크로컨트롤러기능 제 6 장타이머와카운터

HBE-MCU-Multi 로배우는 마이크로컨트롤러 (AVR 편 ) 마이크로컨트롤러기능 제 6 장타이머와카운터 HBE-MCU-Multi 로배우는 마이크로컨트롤러 (AVR 편 ) 마이크로컨트롤러기능 제 6 장타이머와카운터 타이머와카운터 1. 클럭과카운터 2. ATMega128 의타이머 / 카운터 3. 8 비트타이머 / 카운터의일반동작모드 4. 타이머로 LED 점멸시키기 5. 타이머로디지털시계만들기 타이머 / 카운터 타이머와카운터 정확한시간의측정이필요하다.( 자명종과스톱워치

More information

AVR Atmega128

AVR Atmega128 AVR Atmega128 외부인터럽트 중원대학교최재영 인터럽트와폴링 MCU 에서입력을받아들이는방법은폴링방식과인터럽트방식이있음 - 폴링 (Polling) 방식 : 사용자의명령어에의해서하드웨어의변경사항을주기적으로읽어들이는방식 주기적으로하드웨어의변화를체크하기때문에사용자의프로그래밍에따라다양핚변화에대응이가능하지만 CPU 의점유율이높기때문에반응속도가느리다. 인터럽트 (Interrupt)

More information

<4D F736F F F696E74202D2037C0E55FC0CEC5CDB7B4C6AEC0C720B5BFC0DB2E707074>

<4D F736F F F696E74202D2037C0E55FC0CEC5CDB7B4C6AEC0C720B5BFC0DB2E707074> 7 장. 인터럽트의동작 한국산업기술대학교 이응혁교수 WWW.ROBOTICSLAB.CO.KR 1 7.1 인터럽트 (Interrupt) 개요 인터럽트개념 프로그램이수행되고있는동안에어떤조건이발생하여수행중인프로그램을일시적으로중지시키게만드는조건이나사건의발생 비동기적으로처리 다른프로그램이수행되는동안여러개의사건을처리할수있는메커니즘 인터럽트가발생하면마이크로컨트롤러는현재수행중인프로그램을일시중단하고,

More information

untitled

untitled Device Driver in Linux Embedded System Lab. II UART LCD Ethernet USB Embedded System Lab. II 1 Asynchronous Serial Communication -- UART Universal asynchronous receiver/transmitter Transmit bits in a single

More information

Microsoft PowerPoint - ccs33_bios_PRD.ppt [호환 모드]

Microsoft PowerPoint - ccs33_bios_PRD.ppt [호환 모드] 1. CCS3.3 DSP/BIOS PRD(periodic fuction manager) 생성 1. 디렉토리구성.. cmd..dsp2833x_headers.. include.. testprj_2.. testsrc_2 : Linker 컴맨드파일 : Chip관련헤더파일및헤더용 Linker 컴맨드파일 : 사용자인쿠르드파일 : 사용자프로젝트파일및실행파일 (.HEX)

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

Robostar Robot Controller Manual 로보스타로봇 RCS 시리즈옵션 C-NET 옵션모듈 - C-NET ( 주 ) 로보스타

Robostar Robot Controller Manual 로보스타로봇 RCS 시리즈옵션 C-NET 옵션모듈 - C-NET   ( 주 ) 로보스타 Robostar Robot Controller Manual 로보스타로봇 RCS 시리즈옵션 C-NET 옵션모듈 - C-NET www.robostar.co.kr ( 주 ) 로보스타 Robostar Robot Controller Manual ROBOSTAR ROBOT RCS Series Option C-NET Option Module - C-NET www.robostar.co.kr

More information

CAN 통신

CAN 통신 CAN 통신 2008 년 4 월 2 일에이스트로닉스 양은숙 목차 CAN 통신이란 CAN 통신특징 CAN 통신규격 CAN 통신응용범위 AT90CAN128 의특징 AT90CAN128 의회로구조 CAN Controller 의구조 AT90CAN128 의 CAN Register Source 예제 1. CAN 통신이란 1988년 Bosch와 Intel에서개발된차량용네트워크시스템.

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

데이터 통신

데이터 통신 직 / 병렬통신 1. 병렬전송 2. 직렬전송 3. RS-232 통신 4. RS-422 통신 5. RS-485 통신 직 / 병렬통신 1 전송방식 직 / 병렬통신 2 1 병렬전송 병렬전송 한번에 1 개의비트가아닌 n 개의그룹의비트를전송 n 비트를전송하기위해 n 개의전선을사용 장점 직렬전송에비해 n 배만큼전송속도가증가 단점 가격이비싸다 짧은거리만가능 직 / 병렬통신

More information

Section 03 인터럽트활성화와인터럽트서비스루틴연결 34/82 장치에대한인터럽트설정과활성화 내부장치에대한특수레지스터존재 장치의특성을반영한동작설정용또는상태관찰용비트로구성 인터럽트사건의발생패턴을설정해야함 인터럽트활성화비트를 1 로셋하여, 인터럽트발생을허락» 전제, 전역

Section 03 인터럽트활성화와인터럽트서비스루틴연결 34/82 장치에대한인터럽트설정과활성화 내부장치에대한특수레지스터존재 장치의특성을반영한동작설정용또는상태관찰용비트로구성 인터럽트사건의발생패턴을설정해야함 인터럽트활성화비트를 1 로셋하여, 인터럽트발생을허락» 전제, 전역 Section 03 인터럽트활성화와인터럽트서비스루틴연결 33/82 Section 03 인터럽트활성화와인터럽트서비스루틴연결 34/82 장치에대한인터럽트설정과활성화 내부장치에대한특수레지스터존재 장치의특성을반영한동작설정용또는상태관찰용비트로구성 인터럽트사건의발생패턴을설정해야함 인터럽트활성화비트를 1 로셋하여, 인터럽트발생을허락» 전제, 전역인터럽트활성화비트가 1 로셋되었을때

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론 강 AVR bard & dwlad cable 2009 년도 학기 A V R 을시작하며 AVR bard Pi cfigurati 64 개의핀으로구성 Vcc(2) Avcc() GND(3) 입출력핀 (8*6+5) Clck, reset, Aref, PEN 한개의핀이두개이상의기능을수행하기도한다. Pi cfigurati I/O PORT A~G 의 7 개의 prt 중 A~E

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_ 통신프로토콜사용자메뉴얼 리얼시스 TEL : 031-342-3000 FAX : 031-343-0003 주소 : 경기도안양시동안구호계동 1027번지안양IT밸리 504호 - 1 - [ 공통용어설명 ] 통신프로토콜 UART_ Analyzer 통신프로토콜공통형식 1. 동작요청명령및정상응답구조 시작문자 명령코드 Hex ASCII 데이터문자열 Check Sum

More information

<4D F736F F D20BDBAC5D7C7CE20B6F3C0CEC6AEB7B9C0CCBCADB0ADC1C2202D203420C7C1B7CEB1D7B7A1B9D62E646F63>

<4D F736F F D20BDBAC5D7C7CE20B6F3C0CEC6AEB7B9C0CCBCADB0ADC1C2202D203420C7C1B7CEB1D7B7A1B9D62E646F63> 라인트레이서강좌 4. 프로그래밍 2005년 8월 1일류대우 (davidryu@newtc.co.kr) 1. 라인트레이서란? 라인트레이서는정해진주행선을따라움직이는자율이동로봇이다. 현재공장자동화부분에서이용되고있는무인반송차가라인트레이서이다. 라인트레이서의기본적인원리는주어진주행선을센서로검출하여이것에따라목적위치까지이동하는것이다. 라인트레이서는크게 3부분 - 컨트롤러부,

More information

M16_32KIT_Manual.hwp

M16_32KIT_Manual.hwp M16/32KIT Mega 16/32 KIT Technical Manual AVRMALL http://www.avrmall.com/ September 20, 2004 Copyright (c) 2003,2004 AVRMALL All Rights Reserved. M16/32KIT Technical Manual September 20, 2004 Page 2 of

More information

AN2629

AN2629 Tech Note STM32 ADC 펌웨어가이드 ( 타이머로 ADC 주파수설정 ) Introduction STM32 ADC 는다양하고복잡한기능을제공하기때문에사용자요구에맞는최선의방법을선택하기위해서는 ADC 의모드와특성에대한이해를필요로한다. 또한하드웨어트리거와 DMA 를함께운용하면소프트웨어로처리할때생기는복잡함과타이밍문제를간단하게해결할수있다. ADC 의특징을다음순서로설명한다.

More information

슬라이드 1

슬라이드 1 AVR(Atmega128) Interrupt 1 Interrupt Polling 사용자가명령어를사용하여입력핀의값을계속읽어서변화를알아냄 모든경우의입력또는값의변화에대응하여처리가가능 Interrupt MCU 자체가하드웨어적으로그변화를체크하여변화시에만일정한동작 하드웨어적으로지원되는몇개의입력또는값의변화에만대응처리가가능 처리속도는일반적인경우인터럽트가빠름 인터럽트발생시

More information

1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 1 2 3 4 5 6 7 8 9 10 #define _CRT_SECURE_NO_WARNINGS #include #include main() { char ch; printf(" 문자 1개를입력하시오 : "); scanf("%c", &ch); if (isalpha(ch))

More information

untitled

untitled CAN BUS RS232 Line CAN H/W FIFO RS232 FIFO CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter PROTOCOL Converter CAN2RS232 Converter Block Diagram > +- syntax

More information

LS XGT SERIES Connection Manual

LS XGT SERIES Connection Manual GP-ProPBIII 접속매뉴얼 LS Industrial Systems - XGT Series Cnet 편 - Recognition Check Creation 유성철 정원영 [ 개정이력 ] 일시 개정내용 비고 2006.09.06 드라이버매뉴얼개정. 2006.10.25 시스템구성도수정. 결선도수정. 부록추가 2007.01.20 RS232C결선도수정 (ST측 8번->Shell)

More information

Microsoft Word - WGM-447Xx9 Spec_Transceiver_.doc

Microsoft Word - WGM-447Xx9 Spec_Transceiver_.doc WGM-447XS9 WGM-447XA9 EM Tech 대전대덕구대화동 289-1 공구상가 5 동 227 호 TEL: (042) 623-4470 http:// - 1 - 1. 주요기능및규격 GMSK UHF 송수신기 (447.9MHz) 공통 Digital GMSK 변복조방식 Scrambler & Descrambler 기능 Narrow Band (Occupied

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

untitled

untitled if( ) ; if( sales > 2000 ) bonus = 200; if( score >= 60 ) printf(".\n"); if( height >= 130 && age >= 10 ) printf(".\n"); if ( temperature < 0 ) printf(".\n"); // printf(" %.\n \n", temperature); // if(

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

PRO1_16E [읽기 전용]

PRO1_16E [읽기 전용] MPI PG 720 Siemens AG 1999 All rights reserved File: PRO1_16E1 Information and MPI 2 MPI 3 : 4 GD 5 : 6 : 7 GD 8 GD 9 GD 10 GD 11 : 12 : 13 : 14 SFC 60 SFC 61 15 NETPRO 16 SIMATIC 17 S7 18 1 MPI MPI S7-300

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Text-LCD Device Control - Device driver Jo, Heeseung M3 모듈에장착되어있는 Tedxt LCD 장치를제어하는 App 을개발 TextLCD 는영문자와숫자일본어, 특수문자를표현하는데사용되는디바이스 HBE-SM5-S4210 의 TextLCD 는 16 문자 *2 라인을 Display 할수있으며, 이 TextLCD 를제어하기위하여

More information

lecture4(6.범용IO).hwp

lecture4(6.범용IO).hwp 제 2 부 C-언어를 사용한 마이크로컨트롤러 활용기초 66 C-언어는 수학계산을 위해 개발된 FORTRAN 같은 고급언어들과는 달 리 Unix 운영체제를 개발하면서 같이 개발된 고급언어이다. 운영체제의 특성상 C-언어는 다른 고급언어에 비해 컴퓨터의 하드웨어를 직접 제어할 수 있는 능력이 탁월하여 마이크로프로세서의 프로그램에 있어서 어셈블 리와 더불어 가장

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

untitled

untitled Internet Embedded MCU W7100 Datasheet version 0.9.5 2010 WIZnet Co., Inc. All Rights Reserved. For more information, visit our website at http://www.wiznet.co.kr Copyright 2009 WIZnet Co., Inc. All rights

More information

歯9장.PDF

歯9장.PDF 9 Hello!! C printf() scanf() getchar() putchar() gets() puts() fopen() fclose() fprintf() fscant() fgetc() fputs() fgets() gputs() fread() fwrite() fseek() ftell() I/O 2 (stream) C (text stream) : `/n'

More information

Microsoft PowerPoint - ccs33_bios_com1_semaphore.ppt [호환 모드]

Microsoft PowerPoint - ccs33_bios_com1_semaphore.ppt [호환 모드] 1. CCS3.3 DSP/BIOS semaphore 를이용한 COM1 시리얼통신 기존의예제프로그램을바탕으로실제현장에적용가능한 BIOS 프로그램을시작하겠습니다. 이장에서사용할 H/W 는 F28335 내부에있는 UART0 포트를사용해 PC 와 RS-232 통신을 프로세서간동기를맞추는데사용하는 semaphore 를사용해작성해보겠습니다. -Semaphore 란 C

More information

<4D F736F F F696E74202D2037C0E55FC0CCC0C0C7F55FBFCFBCBA205BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D2037C0E55FC0CCC0C0C7F55FBFCFBCBA205BC8A3C8AF20B8F0B5E55D> 7 장. 인터럽트의동작 한국산업기술대학교 이응혁 ehlee@kpu.ac.kr WWW.ROBOTICSLAB.CO.KR 1 7.1 인터럽트 (Interrupt) 개요 인터럽트개념 프로그램이수행되고있는동안에어떤조건이발생하여수행중인프로그램을일시적으로중지시키게만드는조건이나사건의발생 비동기적으로처리 다른프로그램이수행되는동안여러개의사건을처리할수있는메커니즘 인터럽트가발생하면마이크로컨트롤러는현재수행중인프로그램을일시중단하고,

More information

untitled

untitled while do-while for break continue while( ) ; #include 0 i int main(void) int meter; int i = 0; while(i < 3) meter = i * 1609; printf("%d %d \n", i, meter); i++; return 0; i i< 3 () 0 (1)

More information

(MHT-SB112\273\347\276\347\274\255.hwp)

(MHT-SB112\273\347\276\347\274\255.hwp) 무한테크 Digital I/O Board MHT-SB112 경기도의왕시고천동 290-2 대영골든밸리 902 호 http:// Tel : 031-450 - 6737 Fax : 031-450 - 6738 Email : info@moohantechbiz 차례 1 사용되는용도및특징 2 구성요소 3 인터페이스구성 4 아날로그입력 5 통신프로토콜 6 딥스위치설정 7 PCB

More information

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver 1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-MOS100은 UART 인터페이스를통하여토양수분데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. PC에서는 OSTSen-MOS100에서제공하는토양수분데이터를

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

(8)

(8) 5-8. RS232 비동기통신예제 목표 : DSP28x 에는 2 개의비동기통신 (SCI) 이있다. EDU2812 KIT 에서 1 개의 SCI 는부트및데이터모니터링용으로사용하고, 나머지 1 개는 RS232C 형태로커넥터 (CN6) 에접속되어있다. 본예제에서는이 RS232C 통신을사용하여송수신인터럽트처리등에대해서학습해본 다. PC 에서특정문자를보내면일련의문자열로응답하는프로그램을작성해본다.

More information

프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어

프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어 개나리 연구소 C 언어 노트 (tyback.egloos.com) 프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어먹고 하더라구요. 그래서,

More information

제품 설명

제품 설명 1 절 제목 페이지 1.1 CP 341의사용 1-2 1.2 CP 341과의지점간연결에필요한컴포넌트 1-4 1.3 CP 341의설계 1-6 1.4 직렬인터페이스의속성 1-8 1.5 CP 341의통신파트너연결에사용되는케이블 1-12 지점간통신 CP 341 1-1 1.1 CP 341 의사용 CP 341 통신프로세서를사용하면지점간연결을통해프로그래머블컨트롤러나 컴퓨터사이에서데이터를교환할수있습니다.

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED Controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

2. GCC Assembler와 AVR Assembler의차이 A. GCC Assembler 를사용하는경우 i. Assembly Language Program은.S Extension 을갖는다. ii. C Language Program은.c Extension 을갖는다.

2. GCC Assembler와 AVR Assembler의차이 A. GCC Assembler 를사용하는경우 i. Assembly Language Program은.S Extension 을갖는다. ii. C Language Program은.c Extension 을갖는다. C 언어와 Assembly Language 을사용한 Programming 20011.9 경희대학교조원경 1. AVR Studio 에서사용하는 Assembler AVR Studio에서는 GCC Assembler와 AVR Assmbler를사용한다. A. GCC Assembler : GCC를사용하는경우 (WinAVR 등을사용하는경우 ) 사용할수있다. New Project

More information

Microsoft PowerPoint - chap03-변수와데이터형.pptx

Microsoft PowerPoint - chap03-변수와데이터형.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num %d\n", num); return 0; } 1 학습목표 의 개념에 대해 알아본다.

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-PIR100은 UART 인터페이스를통하여인체모션감지 (PIR) 데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. ( PIR: Pyroelectric

More information

Portbase-3010F

Portbase-3010F Eddy-Serial Programmable Embedded Device Server 주요기능 최대 921.6Kbps 시리얼통신속도 쉽고빠른시스템통합 (integration) 애플리케이션직접프로그래밍및모듈에탑재가능 Development Kit 와 SDK 및 API 제공 어떤하드웨어에도장착가능한작은 32-bit / / 핀헤더 / DB9 / TTL 인터페이스 COM

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 임베디드시스템기초 (#514115 ) #5. Timer A 한림대학교전자공학과이선우 MSP430x4xx 타이머종류 MSP430x4xx series 는다음과같은 3 종의타이머내장 Basic Timer1 Two independent, cascadable 8-bit timers Selectable clock source Interrupt capability LCD

More information

HITEC UHF Transceiver

HITEC UHF Transceiver 전화선용데이터통신모뎀모듈 (Telephone-Line(PSTN) Data Modem Module) NC-PSTN56 Ver 3.0 URL : http://www.neotics.co.kr E-Mail : neotics@neotics.co.kr 경기도고양시일산동구백석동 1324 번지동문굿모닝타워 2 차 1003 호. TEL : 031-906-1275~6 / FAX

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

ATmega128 교재 - 8장 EEPROM.hwp

ATmega128 교재 - 8장 EEPROM.hwp 8.1 EEPROM 과 Flash Memory ATmega128에는프로그램메모리로서 128KB의플래시메모리를내장하고있고데이터메모리로서 4KB의 EEPROM을내장하고있다. EEPROM과플래시메모리는하나의뿌리에서발전해온매우유사한메모리이지만사용방법이서로다르다. 이것들은오늘날독립된메모리소자로서도널리사용되고있으므로충분히알아둘필요가있다. EEPROM(Electrically

More information

슬라이드 1

슬라이드 1 데이터수집 (Labview VISA) 시리얼통신개요 시리얼통신은일반컴퓨터와주변계측장비의비동기식통신수단으로지금까지도많이쓰이는인터페이스중에하나입니다. 이러한이유로대부분의데스크탑컴퓨터에는 RS-232C (Recommended Standard 232 Revision C) 형을시리얼포트를내장하고있어 1:1 통신을수행하며, 1: 多통신인유사한통신방법인 RS-485 통신을사용합니다.

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 마이크로컨트롤러기초 (#514112 ) #.7 Basic Timer1 기초 핚림대학교젂자공학과이선우 Contents Digital Counter Basics MSP430x4xx Timers Overview Basic Timer 1 Example program Digital Counter & Timer Counter Basics Digital counter (

More information

chap7.key

chap7.key 1 7 C 2 7.1 C (System Calls) Unix UNIX man Section 2 C. C (Library Functions) C 1975 Dennis Ritchie ANSI C Standard Library 3 (system call). 4 C?... 5 C (text file), C. (binary file). 6 C 1. : fopen( )

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information