구리 전해도금 후 열처리에 따른 미세구조의 변화와 관련된 Electromigration 신뢰성에 관한 연구

Size: px
Start display at page:

Download "구리 전해도금 후 열처리에 따른 미세구조의 변화와 관련된 Electromigration 신뢰성에 관한 연구"

Transcription

1 工學碩士學位論文 Electromigration-resistance related microstructural change with rapid thermal annealing of electroplated copper films 2005 年 2 月 仁荷大學校大學院 金屬工學科 朴賢皒 - 1 -

2 工學碩士學位論文 Electromigration-resistance related microstructural change with rapid thermal annealing of electroplated copper films 2005 年 2 月 指導敎授 李鍾武 論文 工學碩士學位論文 提出 仁荷大學校大學院 金屬工學科 朴賢皒

3 論文 朴賢皒 碩士學位論文 認定 年 2 月 主審 副審 委員

4 Abstract 목 차 I Ⅱ Cu 배선의특성 구리배선의우수성 1-2. 구리배선의 electromigration 신뢰성 wafer contaminant Electroplating Cu metallization Cu deposition 4-2 Barrier metal 4-3 low k material 4-4 Cu CMP 5. Cu Integration Damascene process 5-2. Cu line Ⅲ Ⅳ

5 국문초록 - 1 -

6

7 Abstract Electromigration is now a primary concern regarding reliability of ULSI because of increasing current density in miniatured devices. Effects of rapid thermal annealing (RTA) treatment on the microstructural parameters on the electromigration-resistance of electroplated Cu films using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis techniques. Also electron backscattered diffraction (EBSD) patterns were used to characterize the texture of the Cu thin films. It has been found that the electromigration-resistance of the electroplated Cu film is enhanced with increasing the annealing temperature in the temperature range from 200 to 500. Nitrogen is more favorable than vacuum as RTA atmosphere since nitrogen atmosphere offers lower resistivity and smoother film surface. Also the dependence of the bamboo structure on the annealing temperature and the line-width of the Cu interconnect is discussed. When the line-width is a quarter micron, a bamboo structure obtained by the RTA treatment at temperatures higher than 500. On the other hand, if it is less than 0.1μm, RTA at any temperature above 200 will result in the bamboo structure

8 Ⅰ. 서론 Year of first DRAM Shipment Minimum feature size ( μm ) Memory (Bits/Chip) Maximum number of wiring levels - logic Maximum substrate diameter (mm) Maximum interconnect length-logic(meters/chip) Metal effective resistivity (μωㆍcm) M 1 G 4 G 16 G 64 G 6 6~ ~8 8~ ,480 2,160 2,840 5,140 10, <

9 Fig. 1. Delay time vs. feature size - 2 -

10 Cost of ownership ($) Electrodeposited layer Seed layer ( CVD Cu, PVD Ti/TiN ) Electrodeposition Chemicals and consumables Full-Fill CVD 10,000A 4,000A (single via) System, fab space and labor Solid State Technology March 1998 Fig. 2. Cost-of-ownership compson for copper fill by CVD vs. copper fill by electroplating [17] - 3 -

11 (1) where S : median grain size σ : lognormal standard deviation of the grain size I 111 and I 200 are X-ray intensities of (111) and (200) diffractions, respectively

12 - 5 -

13 Ⅱ. 이론적배경 - 6 -

14 - 7 -

15 V d = F e μ = Z eff * ee(d/kt) = Z * ej e ρ(d/kt) (2) - 8 -

16 (3) - 9 -

17 - 10 -

18 - 11 -

19 - 12 -

20 NH 4OH H 2O 2 HF HCl H 2SO μm μm Procuction Application DRAM Design Rule ( μm ) Maximum particle size ( μm ) 16 M DRAM 24 M DRAM 256 M DRAM ~

21 Y = exp(-da) (4)

22 Chemical Brands Al Cr Cu Fe Ni H 2 O A B (31 %) C NH 4 OH A B (28 %) C H 2 SO A B (96 %) C A HCl (36 %) B HF (49 %) A

23 Power e - e - supply Anode + M M + + M M M + M M M M M Cathode Electrolyte Fig. 3. Principle of electroplating

24 - 17 -

25 전류밀도 i p i a T on T off i p : 펄스전류밀도, i a : 평균전류밀도 T on : 펄스통전시간 (on time), T off : 전류중단시간 (off time), T on +T off : 주기, T on /(T on +T off ): 듀티 (duty) 시간 Fig. 4. 정전류펄스파형

26 - 19 -

27 - 20 -

28 - 21 -

29 - 22 -

30 - 23 -

31 - 24 -

32 Fig. 5. Cu dual damascene process: (a) low-k deposition and photolithography & etch for via and trench, (b) deposition of barrier and seed layer and Cu electroplating,and (c) chemical mechanical polishing (CMP)

33 - 26 -

34 - 27 -

35 Planarity R(um) θ Surface Smoothing 0.1~2.0 >30 Local Planarization 2.0~100 30~0.5 Global Planarization >/=100 </=

36 Fig. 6. Measurement of planarity

37 Fig. 7. Schematic represention of a wafer polishing tool. (a) Polish table with carrier assembly, and (b) Schematic view of wafer-slurry-pad system

38 - 31 -

39 - 32 -

40 ILD Si Barrier Cu Single Level Damascene Dual Damascene Fig. 8. Comparison of single level damascene and dual damascene

41 Ⅲ. 실험방법

42 Table 8. Experimental condition Fig. 9. Process flow for the specimen preparation and characterization

43 Ⅲ. 결과및고찰 (5)

44 - 37 -

45 T m

46 W/S 0.5 Bamboo 구조 0.5 W/S 2.0 near-bamboo 구조 2.0 W/S polycrystalline 구조

47 - 40 -

48 Fig. 10. Scanning electron micrographs of the copper films after rapid thermal annealing (RTA) in vacuum for 15 sec at (a) 200, (b) 300, (c)400 and (d)

49 Fig. 11. Scanning electron micrographs of the copper films after rapid thermal annealing in N 2 for 15 sec at (a) 200, (b) 300, (c) 400 and (d)

50 Fig. 12. X-ray diffraction patterns of the copper films after rapid thermal annealing (RTA) for 15 sec at (a) 200, (b) 300, (c) 400 and (d)

51 Fig. 13. X-ray diffraction patterns of the copper films after rapid thermal annealing in N 2 for 15 sec at (a) 200, (b) 300, (c) 400 and (d)

52 - 45 -

53 Fig. 14. The X-ray diffraction peak intensity ratio of intensity I (111) / I (200) (the degree of preferred orientation) for the electroplated Cu film as a function of rapid thrmal annealing (RTA) temperature for different annealing atmospheres

54 Fig. 15. Grain size distributions of the electroplated Cu their films annealed at different temperatures : (a) 200, (b) 300, (c) 400, (d)

55 Fig. 16. The geometrical factor G of the electroplated Cu thin films as a function of RTA temperature

56 Fig. 17. Dependence of the line width / grain size ratio (W/S) of the electroplated Cu thin film on the RTA temperature for different line widths

57 (011) (111) (001) Fig. 18 : OIM mapping of the copper surface for RTN-treated (at 400 ) film

58 Fig. 19. The resistivity of the Cu thin film as a function of the RTA temperature

59 Fig. 20. The RMS surface roughness for different annealing atmospheres

60 Ⅴ. 결론

61 - 54 -

62 - 55 -

63 참고문헌

64 - 57 -

65 - 58 -

66 - 59 -

67 - 60 -

untitled

untitled Synthesis and structural analysis of nano-semiconductor material 2005 2 Synthesis and structural analysis of nano-semiconductor material 2005 2 . 2005 2 (1) MOCVD ZnO (2) MOCVD gallium oxide < gallium

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

제 07 장 Al and Cu Metallization.hwp

제 07 장 Al and Cu Metallization.hwp 제 7장 Al/Cu Metallization 1. Introduction 중요 이슈 Interconnects - Typical current density ~10 5 A/cm 2 - Wires introduce parasitic resistance and capacitance: RC time delay Inter-Metal Dielectric - Prefer

More information

untitled

untitled [ ] œwz, 21«6y(2008) J. of the Korean Society for Heat Treatment, Vol. 21, No. 6, (2008) pp. 300~306 š y w p x*, **Á **Áy y* * ** w œ w œw, w» gœ Solid State Diffusion Brazing of the Aluminum Alloy Castings

More information

(2002).hwp

(2002).hwp 工學碩士學位論文 광대역육각형평판모노폴안테나 A Wideband Hexagonal Plate Monopole Antenna 忠北大學校大學院 電波工學科電波通信工學專攻 李相吉 2006 年 2 月 工學碩士學位論文 광대역육각형평판모노폴안테나 A Wideband Hexagonal Plate Monopole Antenna 指導敎授 安炳哲 電波工學科電波通信工學專攻 李相吉

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

14.fm

14.fm Journal of the Korean Ceramic Society Vol. 44, No. 2, pp. 93~97, 2007. Preparation of High Purity Si Powder by SHS Chang Yun Shin, Hyun Hong Min, Ki Seok Yun, and Chang Whan Won Engineering Research Center

More information

12.077~081(A12_이종국).fm

12.077~081(A12_이종국).fm J. of Advanced Engineering and Technology Vol. 1, No. 1 (2008) pp. 77-81 y w» e wx Á w œw Fabrication of Ceramic Batch Composition for Porcelain by Using Recycled Waste Ceramic Powder Hyun Guen Han, and

More information

전용]

전용] A Study of select the apropos processing mechanical method by the presume of transformation of teeth s surface degree ABSTRACT This study has been tried to select the apropos processing method by the

More information

슬라이드 1

슬라이드 1 GaN 기판제작공정 시스넥스기술연구소 박기연 내 용 1. 시스넥스및 HVPE 장비소개 2.GaN 기판제작개요 3. GaN Epi 공정 (HVPE 방법 ) 4. GaN LLO 공정 5. GaN polishing 공정 시스넥스소개 (4-1) 회사연혁및사업분야 2000. 05 회사설립 2001. 05 6x2 GaN MOCVD 개발 ( 국내및중국납품 ) 2004.

More information

한국전지학회 춘계학술대회 Contents 기조강연 LI GU 06 초강연 김동욱 09 안재평 10 정창훈 11 이규태 12 문준영 13 한병찬 14 최원창 15 박철호 16 안동준 17 최남순 18 김일태 19 포스터 강준섭 23 윤영준 24 도수정 25 강준희 26

한국전지학회 춘계학술대회 Contents 기조강연 LI GU 06 초강연 김동욱 09 안재평 10 정창훈 11 이규태 12 문준영 13 한병찬 14 최원창 15 박철호 16 안동준 17 최남순 18 김일태 19 포스터 강준섭 23 윤영준 24 도수정 25 강준희 26 2015 한국전지학회 춘계학술대회 2일차 한국전지학회 춘계 학술대회(신소재 및 시장동향 관련 주제 발표) 시간 제목 비고 세션 1 차세대 이차전지용 in-situ 분석기술 좌장 : 윤성훈 09:00~09:30 Real-time & Quantitative Analysis of Li-air Battery Materials by In-situ DEMS 김동욱(한국화학연구원)

More information

Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket

Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket Vertical Probe Card for Wafer Test Vertical Probe Card Technology Pin Technology 1) Probe Pin Testable Pitch:03 (Matrix) Minimum Pin Length:2.67 High Speed Test Application:Test Socket Life Time: 500000

More information

목차 ⅰ ⅲ ⅳ Abstract v Ⅰ Ⅱ Ⅲ i

목차 ⅰ ⅲ ⅳ Abstract v Ⅰ Ⅱ Ⅲ i 11-1480523-000748-01 배경지역 ( 백령도 ) 에서의 대기오염물질특성연구 (Ⅲ) 기후대기연구부대기환경연구과,,,,,,, Ⅲ 2010 목차 ⅰ ⅲ ⅳ Abstract v Ⅰ Ⅱ Ⅲ i 목차 Ⅳ ii 목차 iii 목차 iv 목차 μg m3 μg m3 v 목차 vi Ⅰ. 서론 Ⅰ μm μg m3 1 Ⅰ. 서론 μg m3 μg m3 μg m3 μm 2

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

Output file

Output file 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 An Application for Calculation and Visualization of Narrative Relevance of Films Using Keyword Tags Choi Jin-Won (KAIST) Film making

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

表紙(化学)

表紙(化学) 수험 번호 성 명 2013년 일본 공과대학 학부 유학생 파견 선발 시험 화 학 (90분 100점) 주 의 1 시험시작의 지시가 있을 때까지 열지 마시오. 2 해답은 해답용지의 지정된 난 안에 알아보기 쉽게 기입하시오. 3 해답에 한글이 포함되면 채점되지 않습니다. 필요한 경우, 아래의 값을 사용하시오. 원자량 H 1.0, C 12, N 14, O 16, Cu

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 6, December, 30, 2016:237~251 Received: 2016/11/20, Accepted: 2016/12/24 Revised: 2016/12/21, Published: 2016/12/30 [ABSTRACT] Recently, there is an increasing

More information

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

82-01.fm

82-01.fm w y wz 8«( 2y) 57~61, 2005 J. of the Korean Society for Environmental Analysis p w w Á Á w w» y l Analysis of Influence Factors and Corrosion Characteristics of Water-pipe in Potable Water System Jae Seong

More information

Coriolis.hwp

Coriolis.hwp MCM Series 주요특징 MaxiFlo TM (맥시플로) 코리올리스 (Coriolis) 질량유량계 MCM 시리즈는 최고의 정밀도를 자랑하며 슬러리를 포함한 액체, 혼합 액체등의 질량 유량, 밀도, 온도, 보정된 부피 유량을 측정할 수 있는 질량 유량계 이다. 단일 액체 또는 2가지 혼합액체를 측정할 수 있으며, 강한 노이즈 에도 견디는 면역성, 높은 정밀도,

More information

15.fm

15.fm Journal of the Korean Ceramic Society Vol. 44, No. 2, pp. 98~102, 2007. Effect of Recycling Time on Stability of Colloidal Silica Slurry and Removal Rate in Silicon Wafer Polishing Eun-Suck Choi and So-Ik

More information

08.hwp

08.hwp 박 기 식 여주대학 토목과 (2001. 10. 24. 접수 / 2002. 6. 14. 채택) A Study on the Longitudinal Vibration of Finite Elastic Medium using Laboratory Test Ki-Shik Park Department of Civil Engineering, Yeojoo Institute of

More information

Microsoft PowerPoint - Freebairn, John_ppt

Microsoft PowerPoint - Freebairn, John_ppt Tax Mix Change John Freebairn Outline General idea of a tax mix change Some detailed policy options Importance of casting assessment in the context of a small open economy Economic effects of a tax mix

More information

09È«¼®¿µ 5~152s

09È«¼®¿µ5~152s Korean Journal of Remote Sensing, Vol.23, No.2, 2007, pp.45~52 Measurement of Backscattering Coefficients of Rice Canopy Using a Ground Polarimetric Scatterometer System Suk-Young Hong*, Jin-Young Hong**,

More information

ApplicationKorean.PDF

ApplicationKorean.PDF Sigrity Application Notes Example 1 : Power and ground voltage fluctuation caused by current in a via passing through two metal planes Example 2 : Power/ground noise and coupling in an integrated-circuit

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 6, December, 30, 2016:275~289 Received: 2016/12/02, Accepted: 2016/12/22 Revised: 2016/12/20, Published: 2016/12/30 [ABSTRACT] SNS is used in various fields. Although

More information

歯전용]

歯전용] 2001. 9. 6 1. 1. (1) (1) 1 (2) (2) 2 3 INVESTER PROFESIONAL ORGANIZATION GOVERNMENT CODE COMMITTEE SPECIFICATION CODE LAW LICENSE PERMIT PLANT 4 5 6 7 2. (1) 2. (1) 8 9 (2) (2) 10 (3) ( ). () 20kg/ (P70,

More information

135 Jeong Ji-yeon 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 머리말 협저불상( 夾 紵 佛 像 )이라는 것은 불상을 제작하는 기법의 하나로써 삼베( 麻 ), 모시( 苧 ), 갈포( 葛 ) 등의 인피섬유( 靭 皮 纖 維 )와 칠( 漆 )을 주된 재료

135 Jeong Ji-yeon 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 머리말 협저불상( 夾 紵 佛 像 )이라는 것은 불상을 제작하는 기법의 하나로써 삼베( 麻 ), 모시( 苧 ), 갈포( 葛 ) 등의 인피섬유( 靭 皮 纖 維 )와 칠( 漆 )을 주된 재료 MUNHWAJAE Korean Journal of Cultural Heritage Studies Vol. 47. No. 1, March 2014, pp.134~151. Copyright 2014, National Research Institute of Cultural Heritage 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 정지연 a 明 珍 素 也

More information

page 1end

page 1end C 0.0.2 ma Cr 14.5~16.5 Co 2.5 max Iron 4~7 Mn 1 max Mo 15 ~ 17 Ni Balance P 0.03 max Si 0.08 max S 0.03 max W 3 ~ 4.5 V 0.35 max 8.89g/cm 3 Multipurpose corrosion resistance of NickelMolybdenumChrome.

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종 [ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : 2013. 3 ~ 2013. 12 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종찬 ( 서울과학고 2학년 ) 소재원 ( 서울과학고 2학년 ) 1,.,.,.... surface

More information

I. 회사의 개요 1. 회사의 개요 1. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기ㆍ 반기보고서를 제출하는 경우에 한함) 상호 설립일 주소 주요사업 직전사업연도말 자산총액 지배관계 근거 주요종속 회사 여부 (주)이수엑사보드 2004년

I. 회사의 개요 1. 회사의 개요 1. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기ㆍ 반기보고서를 제출하는 경우에 한함) 상호 설립일 주소 주요사업 직전사업연도말 자산총액 지배관계 근거 주요종속 회사 여부 (주)이수엑사보드 2004년 분 기 보 고 서 (제 40 기) 사업연도 2011년 01월 01일 2011년 09월 30일 부터 까지 금융위원회 한국거래소 귀중 2011년 11월 14일 회 사 명 : (주)이수페타시스 대 표 이 사 : 홍정봉 본 점 소 재 지 : 대구광역시 달성군 논공읍 본리리 29-54 (전 화) 053-610-0300 (홈페이지) http://www.petasys.com

More information

GEAR KOREA

GEAR KOREA GEAR Original Equipment Manufacturing Gears Support your various needs with our world class engineering skill and the newest manufacturing facilities. 1 2 Nissei creates high-quality high-precision gears

More information

03신경숙내지작업

03신경숙내지작업 57~82 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 A Study on the Educational Use of Dolmen Construction Technology Kyung-sug Shin (Research Centre of Dolmens in Northeast Asia)

More information

19(1) 02.fm

19(1) 02.fm Korean J. Crystallography Vol. 19, No. 1, pp.7~13, 2008 Ÿ (ICISS) w š t w (2): t w y w œw Surface Structure Analysis of Solids by Impact Collision Ion Scattering Spectroscopy (2): Atomic Structure of Semiconductor

More information

歯1.PDF

歯1.PDF 200176 .,.,.,. 5... 1/2. /. / 2. . 293.33 (54.32%), 65.54(12.13%), / 53.80(9.96%), 25.60(4.74%), 5.22(0.97%). / 3 S (1997)14.59% (1971) 10%, (1977).5%~11.5%, (1986)

More information

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제 분 기 보 고 서 (제 18 기) 사업연도 2012년 01월 01일 2012년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2012 년 5 월 15 일 회 사 명 : 주성엔지니어링(주) 대 표 이 사 : 황 철 주 본 점 소 재 지 : 경기도 광주시 오포읍 능평리 49 (전 화) 031-760-7000 (홈페이지) http://www.jseng.com

More information

- i - - ii - - iii - - iv - - v - - vi - - 1 - - 2 - - 3 - 1) 통계청고시제 2010-150 호 (2010.7.6 개정, 2011.1.1 시행 ) - 4 - 요양급여의적용기준및방법에관한세부사항에따른골밀도검사기준 (2007 년 11 월 1 일시행 ) - 5 - - 6 - - 7 - - 8 - - 9 - - 10 -

More information

DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They

DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They DC Link Capacitor DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They are Metallized polypropylene (SH-type)

More information

14.531~539(08-037).fm

14.531~539(08-037).fm G Journal of the Korea Concrete Institute Vol. 20, No. 4, pp. 531~539, August, 2008 š x y w m š gj p { sƒ z 1) * 1) w w Evaluation of Flexural Strength for Normal and High Strength Concrete with Hooked

More information

002-022~41-기술2-충적지반

002-022~41-기술2-충적지반 Improvement cases of waterproofing and auxiliary construction methods in alluvium soil tunnel In the past, subway tunnel is mostly applied to rock tunnel in order to secure the safety. But, in recent years,

More information

Introduction Capillarity( ) (flow ceased) Capillary effect ( ) surface and colloid science, coalescence process,

Introduction Capillarity( ) (flow ceased) Capillary effect ( ) surface and colloid science, coalescence process, Introduction Capillarity( ) (flow ceased) Capillary effect ( ) surface and colloid science, coalescence process, Introduction Capillary forces in practical situation Capillary Model A Capillary Model system,

More information

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환)

실적 및 전망 09년 하반 PECVD 고객 다변화에 따른 실적개선 10년 태양광 R&D 장비 매출을 반으로 본격적인 상업생산 시작 1. 09년 3Q 실적 동사는 09년 3Q에 매출과 영업이익으로 각각 142 억원(YoY 16.7%, QoQ 142%), 6 억원(흑전환) KRP Report (3회차) GOLDEN BRIDGE Research - 스몰켑 - Not Rated 테스 (095610) 공정미세화 추세의 수혜, 태양광 장비의 매출 가시화로 견조한 성장 작성일: 2009.11.18 발간일: 2009.11.19 3Q 실적 동사의 3분에 매출과 영업이익은 각각 141.5 억원(QoQ 142%), 6 억원(흑전)이다. 목표가

More information

Alloy Group Material Al 1000,,, Cu Mg 2000 ( 2219 ) Rivet, Mn 3000 Al,,, Si 4000 Mg 5000 Mg Si 6000, Zn 7000, Mg Table 2 Al (%

Alloy Group Material Al 1000,,, Cu Mg 2000 ( 2219 ) Rivet, Mn 3000 Al,,, Si 4000 Mg 5000 Mg Si 6000, Zn 7000, Mg Table 2 Al (% http://wwwtechnonetcokr (Aluminum & Aluminum BasedAlloy) : LG 1 Aluminum Table 1, 2 1000 7000 4 Al 990% Al 1XXX AlCu 2XXX AlMn 3XXX AlSi 4XXX AlMg 5XXX AlMgSi 6XXX AlZn(Mg, Cu) 7XXX 8XXX ( ) 9XXX Fig 1

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 4, August, 30, 2016:319~332 Received: 2016/07/28, Accepted: 2016/08/28 Revised: 2016/08/27, Published: 2016/08/30 [ABSTRACT] This paper examined what determina

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

Æ÷Àå½Ã¼³94š

Æ÷Àå½Ã¼³94š Cho, Mun Jin (E-mail: mjcho@ex.co.kr) ABSTRACT PURPOSES : The performance of tack coat, commonly used for layer interface bonding, is affected by application rate and curing time. In this study, bonding

More information

204 205

204 205 -Road Traffic Crime and Emergency Evacuation - 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 Abstract Road Traffic Crime

More information

Berechenbar mehr Leistung fur thermoplastische Kunststoffverschraubungen

Berechenbar mehr Leistung fur thermoplastische Kunststoffverschraubungen Fastener 독일 EJOT 社에서 만든 최고의 Plastic 전용 Screw 아세아볼트 CO., LTD. 대한민국 정식 라이센스 생산 업체 EJOT GmbH & Co. KG DELTA PT März 2003 Marketing TEL : 032-818-0234 FAX : 032-818-6355 주소 : 인천광역시 남동구 고잔동 645-8 남동공단 76B 9L

More information

INDUCTION MOTOR 표지.gul

INDUCTION MOTOR 표지.gul INDUCTION MOTOR NEW HSERIES INDUCTION MOTOR HEX Series LEAD WIRE TYPE w IH 1PHASE 4 POLE PERFORMANCE DATA (DUTY : CONTINUOUS) MOTOR TYPE IHPF10 IHPF11 IHPF IHPF22 IHPFN1U IHPFN2C OUTPUT 4 VOLTAGE

More information

05-1Ưº°±âȹ

05-1Ưº°±âȹ OLED OLED OLED Interlayer λ OLED OLED PM OLED α PM OLED Getter Cover glass Substrate Organic film structure Light emission Anode Sealant ~10VDC Glass Substrate Column: Data line Row: Scan line Metal

More information

2 / 26

2 / 26 1 / 26 2 / 26 3 / 26 4 / 26 5 / 26 6 / 26 7 / 26 8 / 26 9 / 26 10 / 26 11 / 26 12 / 26 13 / 26 14 / 26 o o o 15 / 26 o 16 / 26 17 / 26 18 / 26 Comparison of RAID levels RAID level Minimum number of drives

More information

Electropure EDI OEM Presentation

Electropure EDI OEM Presentation Electro Deionization: EDI Systems. Electro Pure EDI, Inc.: High technology water tm www.cswaters.co.kr : EDI Electro Deionization 1. EDI Pure Water System? 2. EDI? 3. EDI? 4. EDI? 5. EDI? Slide 2 EDI 1.

More information

16(5)-03(56).fm

16(5)-03(56).fm Journal of Korean Powder Metallurgy Institute DOI: 10.4150/KPMI.2009.16.5.316 ƒ w Fe œ w Cu wy SPS (I) I. ƒ wy y Á xá½ Á½ *Á½{ a w œw, a w» gœ Production of Fe Amorphous Powders by Gas-atomization Process

More information

REVERSIBLE MOTOR 표지.gul

REVERSIBLE MOTOR 표지.gul REVERSIBLE MOTOR NEW H-SERIES REVERSIBLE MOTOR H-EX Series LEAD WIRE w RH 1PHASE 4 POLE PERFORMANCE DATA (DUTY : Min.) MOTOR OUTPUT VOLTAGE (V) FREQUENCY (Hz) INPUT CURRENT (ma) RATING SPEED (rpm) STARTING

More information

歯174구경회.PDF

歯174구경회.PDF 000 KALIMER - Creep-Fatigue Damage Evaluation of KALIMER Reactor Internal Structures for Elevated Temperature, 150 KALIMER ASME Code Case N-01-4 0 - - - Abstract In this paper, the design limits of the

More information

한국성인에서초기황반변성질환과 연관된위험요인연구

한국성인에서초기황반변성질환과 연관된위험요인연구 한국성인에서초기황반변성질환과 연관된위험요인연구 한국성인에서초기황반변성질환과 연관된위험요인연구 - - i - - i - - ii - - iii - - iv - χ - v - - vi - - 1 - - 2 - - 3 - - 4 - 그림 1. 연구대상자선정도표 - 5 - - 6 - - 7 - - 8 - 그림 2. 연구의틀 χ - 9 - - 10 - - 11 -

More information

Microsoft Word - Shield form gasket.doc

Microsoft Word - Shield form gasket.doc Shield Form Gasket (P/N: UKB10-LS10-190-5, UKB6-LN6-200-5, UKU13-3-150-8, URB10-R5-200-D, URB5-1.5-200-4, URB8-4-180-4, URB9-1-200-D, URU10-3-150-8) 1 Shield form gasket ( 주 ) 뉴티씨 ( NewTC ) 1-1 Description

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

........

........ Investigation of the Korean Traditional Hobun Manufacturing Technique NATIONAL RESEARCH INSTITUTE OF CULTURAL HERITAGE 2008 Investigation of the Korean Traditional Hobun Manufacturing Technique - Centering

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

11¹ÚÇý·É

11¹ÚÇý·É Journal of Fashion Business Vol. 6, No. 5, pp.125~135(2002) The Present State of E-Business according to the Establishment Year and the Sales Approach of Dongdaemun Clothing Market Park, Hea-Ryung* and

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA e- 비즈니스연구 (The e-business Studies) Volume 17, Number 1, February, 28, 2016:pp. 293~316 ISSN 1229-9936 (Print), ISSN 2466-1716 (Online) 원고접수일심사 ( 수정 ) 게재확정일 2015. 12. 04 2015. 12. 24 2016. 02. 25 ABSTRACT

More information

국706.fm

국706.fm Carbon Science Vol. 7, No. 4 December 2006 pp. 271-276 Effect of Heating Rate and Pressure on Pore Growth of Porous Carbon Materials Kwang Youn Cho, Kyong Ja Kim and Doh Hyung Riu Division of Nano Materials

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA Printed in the Republic of Korea "/"-:5*$"- 4$*&/$& 5&$)/0-0(: Vol. 18, No. 5, 425-430, 2005» 677*4 Ÿ w sƒ ½»x Á Á½ k w y w, w y œw Some considerations for the analytical approaches to measure atmospheric

More information

<30365F28BFCFB7E129BEC8BAB4C5C22E687770>

<30365F28BFCFB7E129BEC8BAB4C5C22E687770> Current Photovoltaic Research 3(1) 27-31 (2015) pissn 2288-3274 Sulfurization 온도와 Cu/(In+Ga) 비가 Cu(In,Ga)Se 2 박막 내 S 함량에 미치는 영향 고영민ㆍ김지혜ㆍ신영민ㆍR. B. V. Chalapathyㆍ안병태* 한국과학기술원 신소재공학과, 대전시 유성구 대학로 291, 305-338

More information

10(3)-12.fm

10(3)-12.fm w y wz 10«3y 273~280 (2010.12.) Journal of Korean Society of Urban Environment p yá xá½k w y œw (2010 9 15, 2010 12 2 k) Analysis of Characteristics of Delivered Nonpoint Source Pollution at Forested Watershed

More information

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D>

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D> 태양광 모듈 효율 실증 테스트 시스템 National Instrument Korea 전략마케팅 / 팀장 윤 동 원 1 회사 소개 소재: 미국 텍사스 오스틴 설립일: 1976년 지사 및 직원: 40여 개국의 지사, 4,300명의 직원 2007년 매출: $740M R&D 투자: 1) 사업비의 16% R&D 투자 2) 1,400명 이상의 R&D인력 대표 제품: LabVIEW,

More information

저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비

저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비 저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 동일조건변경허락. 귀하가이저작물을개작, 변형또는가공했을경우에는,

More information

<5B313132385D32303039B3E220C1A634B1C720C1A632C8A320B3EDB9AEC1F628C3D6C1BE292E687770>

<5B313132385D32303039B3E220C1A634B1C720C1A632C8A320B3EDB9AEC1F628C3D6C1BE292E687770> 디지털 영상에서의 자막추출을 이용한 자막 특성 분석에 관한 연구 이세열 * 요약 본 연구는 방송 프로그램 제작에 있어서 중요한 역할을 담당하고 있는 영상 자막의 특성과 영상 커 뮤니케이션 기능적인 관점에서 나타나고 있는 현상을 살펴본다. 다양한 방송 프로그램에서 활용되고 있는 디지털 영상 자막의 기능은 단순하게 간략한 정보를 전달하는 기능적인 역할을 수행하였다.

More information

γ

γ 경락경혈학회지 Vol.27, No.1, pp.87 106, 2010 Journal of Meridian & Acupoint Dept. of 1 Meridian & Acupoint, 3 Acupuncture & Moxibustion, College of Oriental Medicine, Daejeon University 2 Division of Clinical

More information

03 장태헌.hwp

03 장태헌.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2013 Aug.; 24(8), 772 780. http://dx.doi.org/10.5515/kjkiees.2013.24.8.772 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) HEMP

More information

Vol.257 C O N T E N T S M O N T H L Y P U B L I C F I N A N C E F O R U M

Vol.257 C O N T E N T S M O N T H L Y P U B L I C F I N A N C E F O R U M 2017.11 Vol.257 C O N T E N T S 02 06 38 52 69 82 141 146 154 M O N T H L Y P U B L I C F I N A N C E F O R U M 2 2017.11 3 4 2017.11 6 2017.11 1) 7 2) 22.7 19.7 87 193.2 160.6 83 22.2 18.4 83 189.6 156.2

More information

ePapyrus PDF Document

ePapyrus PDF Document Trans. of the Korean Hydrogen and New Energy Society(2013. 4), Vol. 24, No. 2, pp. 136~141 DOI: http://dx.doi.org/10.7316/khnes.2013.24.2.136 흡기관 분사식 수소 SI기관의 희박과급 적용에 관한 연구 이광주 1 ㆍ이종구 1 ㆍ이종태 2 1 성균관대학교

More information

<31372DB9CCB7A1C1F6C7E22E687770>

<31372DB9CCB7A1C1F6C7E22E687770> 미래지향 고령친화 주거디자인을 위한 예비노인층의 라이프스타일 특성 Characteristics of Lifestyle of the Pre-Elderly for Future Elderly-friendly Housing Design 류 혜 지 청운대학교 인테리어디자인학과 교수 Ryu hye-ji Dept. of Interior Design, Chungwoon University

More information

... 수시연구 국가물류비산정및추이분석 Korean Macroeconomic Logistics Costs in 권혁구ㆍ서상범...

... 수시연구 국가물류비산정및추이분석 Korean Macroeconomic Logistics Costs in 권혁구ㆍ서상범... ... 수시연구 2013-01.. 2010 국가물류비산정및추이분석 Korean Macroeconomic Logistics Costs in 2010... 권혁구ㆍ서상범... 서문 원장 김경철 목차 표목차 그림목차 xi 요약 xii xiii xiv xv xvi 1 제 1 장 서론 2 3 4 제 2 장 국가물류비산정방법 5 6 7 8 9 10 11 12 13

More information

歯김유성.PDF

歯김유성.PDF BIT/ST/LSCO/MgO Variations of Microstructures and Electrical Properties of BIT/ST/LSCO/MgO Epitaxial Films by Annealing 2003 2 BIT/ST/LSCO/MgO Variations of Microstructures and Electrical Properties of

More information

2005CG01.PDF

2005CG01.PDF Computer Graphics # 1 Contents CG Design CG Programming 2005-03-10 Computer Graphics 2 CG science, engineering, medicine, business, industry, government, art, entertainment, advertising, education and

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012

유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012 11-1480523-001163-01 유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012 목 차 ⅰ ⅲ ⅳ Abstract ⅵ Ⅰ Ⅱ Ⅲ i 목 차 Ⅳ ii 목 차 iii 목 차 iv 목 차 v Abstract vi Abstract σ ε vii Abstract viii Ⅰ. 서론 Ⅰ. 1 Ⅰ. 서론.

More information

환경중잔류의약물질대사체분석방법확립에 관한연구 (Ⅱ) - 테트라사이클린계항생제 - 환경건강연구부화학물질연구과,,,,,, Ⅱ 2010

환경중잔류의약물질대사체분석방법확립에 관한연구 (Ⅱ) - 테트라사이클린계항생제 - 환경건강연구부화학물질연구과,,,,,, Ⅱ 2010 11-1480523-000702-01 환경중잔류의약물질대사체분석방법확립에 관한연구 (Ⅱ) - 테트라사이클린계항생제 - 환경건강연구부화학물질연구과,,,,,, Ⅱ 2010 목차 ⅰ ⅱ ⅲ Abstract ⅳ Ⅰ Ⅱ i 목차 Ⅲ Ⅳ i 목차 ii 목차 iii Abstract α β α β iv Ⅰ. 서론 Ⅰ 1 Ⅱ. 연구내용및방법 Ⅱ. 2 Ⅱ. 연구내용및방법

More information

fm

fm [ ] w wz DOI: 10.3740/MRSK.2009.19.12.692 Kor. J. Mater. Res. Vol. 19, No. 12 (2009) y INCONEL 718w Gas Tungsten Arc Welding» p sƒ ½»y Á *Á *Á y** ( ) d lj p wœq, *w wœ» q **( ) d lj p t Mechanical Properties

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

조규선.PDF

조규선.PDF A Study on the Machining Characteristics of the Electropolishing of Aluminum 20021 ( ) - 1 - A Study on the Machining Characteristics of the Electropolishing of Aluminum 20021-2 - ABSTRACT List of Figures

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA e- 비즈니스연구 (The e-business Studies) Volume 17, Number 1, February, 28, 2016:pp. 3~30 ISSN 1229-9936 (Print), ISSN 2466-1716 (Online) 원고접수일심사 ( 수정 ) 게재확정일 2016. 01. 08 2016. 01. 09 2016. 02. 25 ABSTRACT

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

원위부요척골관절질환에서의초음파 유도하스테로이드주사치료의효과 - 후향적 1 년경과관찰연구 - 연세대학교대학원 의학과 남상현

원위부요척골관절질환에서의초음파 유도하스테로이드주사치료의효과 - 후향적 1 년경과관찰연구 - 연세대학교대학원 의학과 남상현 원위부요척골관절질환에서의초음파 유도하스테로이드주사치료의효과 - 후향적 1 년경과관찰연구 - 연세대학교대학원 의학과 남상현 원위부요척골관절질환에서의초음파 유도하스테로이드주사치료의효과 - 후향적 1 년경과관찰연구 - 연세대학교대학원 의학과 남상현 원위부요척골관절질환에서의초음파 유도하스테로이드주사치료의효과 - 후향적 1 년경과관찰연구 - 지도김석원교수 이논문을석사학위논문으로제출함

More information

01-베타전지용(25)

01-베타전지용(25) Journal of Radiation Industry 8 (3) : 141~146 (2014) Note 베타전지용 PN 접합반도체표면에도금된 Ni 후막의특성 김진주 엄영랑 * 박근용 손광재 한국원자력연구원동위원소이용연구부 Characteristics of Electroplated Ni Thick Film on the PN Junction Semiconductor

More information

Journal of Korean Society on Water Environment, Vol. 28, No. 2, pp (2012) ISSN ᆞ ᆞ ᆞ Evaluation of Forward Osmosis (FO) Membrane Per

Journal of Korean Society on Water Environment, Vol. 28, No. 2, pp (2012) ISSN ᆞ ᆞ ᆞ Evaluation of Forward Osmosis (FO) Membrane Per Journal of Korean Society on Water Environment, Vol. 28, No. 2, pp.292-299 (2012) ISSN 1229-4144 ᆞᆞᆞ Evaluation of Forward Osmosis (FO) Membrane Performances in a Non-Pressurized Membrane System Bongchul

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

2

2 에너지경제연구 Korean Energy Economic Review Volume 10, Number 1, March 2011 : pp. 1~24 국내화력발전산업에대한연료와자본의대체성분석 1 2 3 ~ 4 5 F F P F P F ln ln ln ln ln ln ln ln ln ln ln ln ln ln ln ln ln 6 ln ln ln ln ln 7 ln

More information

PJTROHMPCJPS.hwp

PJTROHMPCJPS.hwp 제 출 문 농림수산식품부장관 귀하 본 보고서를 트위스트 휠 방식 폐비닐 수거기 개발 과제의 최종보고서로 제출 합니다. 2008년 4월 24일 주관연구기관명: 경 북 대 학 교 총괄연구책임자: 김 태 욱 연 구 원: 조 창 래 연 구 원: 배 석 경 연 구 원: 김 승 현 연 구 원: 신 동 호 연 구 원: 유 기 형 위탁연구기관명: 삼 생 공 업 위탁연구책임자:

More information

http://www.kbc.go.kr/ Abstract Competition and Concentration in the Market for the Multichannel Video Programming G h e e - Young Noh ( P r o f e s s o, rschool of Communication,

More information