DBPIA-NURIMEDIA

Size: px
Start display at page:

Download "DBPIA-NURIMEDIA"

Transcription

1 50 기술논문 내마모판의광폭경화육성용접비드형성을위한트윈토치 CMAW 공정개발 조상명 * 김성덕 ** 황규민 *** * 부경대학교신소재공학부소재프로세스공학전공 ** 두산중공업베트남법인 *** 부경대학교소재프로세스공학부 Development of Twin Torch Compound Metal Arc Welding Process to Form for Wide Hardfacing Bead of Wearplate Sang-Myung Cho*, Sung-Deok Kim** and Kyu-Min Hwang*** *Div. of Advanced Materials Sci. and Eng., Dept. of Materials Processing Eng., Pukyong National Univ., Busan , Korea **Doosan Heavy Industries Vietnam ***Dept. of Materials Processing Eng., Graduate School, Pukyong National Univ., Busan , Korea Abstract The wearplate with Cr-C has been used in condition of severe abrasion. Recently, the demand of wearplate made by hardfacing with Cr-C has increased in the world, but it is lack of supply and expensive due to low productivity. CMAW (Compound Metal Arc Welding) is very useful process of several welding methods to make wearplate. In this paper, twin torch CMAW to use twin torch at the same time was developed to improve productivity and to ensure quality of wear plate. When the distance between two touches was smaller than 30mm, arc blow was occurred. However when the distance was larger than 35mm, there was no arc blow any more. If the oscillation path of each torch was overlapped together, the melt through at the overlapped zone was occurred due to concentrated heat input in substrate. On the other hand, the turning point of each torch was open more than 5mm, separated bead was generated. Therefore twin torch CMAW which has adequate conditions was able to make wearplate having flatter surface at the bead connection than single torch. *Corresponding author : pnwcho@pknu.ac.kr (Received December 20, 2007) Key Words : Hardfacing, Twin torch welding, Compound metal arc welding, Wearplate, Arc blow, Arc stability, Wide bead formation, Oscillation overlap. 1. 서론 내마모성및내열성을가진크롬카바이드내마모판은시멘트, 광업, 요업, 제철, 코크스, 석탄, 석재, 등의산업설비에들어가는각종호퍼 (Hopper), 믹서 (Mixer), 파쇄기 (Crusher), 쇼트블라스트하우징 (Shot blast housing) 등에주로사용된다 1). 최근국내외에서크롬카바이드내마모판의수요가증가하고있지만낮은생 산성으로공급이부족한실정이다 2). 내마모판을생산하는공정은경화육성용파우더를송급하여용접하는 CMAW(Compound Metal Arc Welding), SAW 1), FCAW 3), Plasma Arc Welding 4) 등의공정및판상형태의파우더를기판에올려두고용접하는 GMAW, GTAW 5), Plasma Arc Welding등의공정이있다. 이중에서 CMAW 는다른공정보다생산비용이저렴하고용접품질도우수하며특히본연구에사용된 6t의기판에용락없이용접이가능한공정이다. 152 Journal of KWJS, Vol. 26, No. 2, April, 2008

2 내마모판의광폭경화육성용접비드형성을위한트윈토치 CMAW 공정개발 51 용접공정의생산성을높이기위한다양한연구가시도되었다. Kim 1) 등은 SAW 에서 2개의전극을사용하는육성용접장치에관해연구를하였다. 하지만비드폭을넓게하여생산성향상을도모하는관점에서는접근하지않았다. Tusek 6) 은 Melting rate 식을만들어트윈와이어가싱글와이어보다생산성이뛰어남을다루었다. Moon 7) 등은유한요소해석을통해다전극을사용할때의아크쏠림현상을연구하였다. 상기연구를비롯한대부분의연구에서는하나의용접기를이용하여하나의토치에두개이상의와이어를송급하는방식으로연구되었다. 그러나본연구에서는넓은기판에용접하여내마모판을만들기때문에하나의토치에서다수의와이어를사용하는것보다일정간격을둔다수의토치를사용하여넓은경화육성용접비드를만드는것이유리하다. 본연구에앞서내마모판의품질에관한충분한선행연구가이루어졌으므로본연구에는이를바탕으로생산성향상을위한공정개발에대하여주로다루었다. 따라서본연구에서는생산성을증가시키기위해광폭의경화육성용접비드를형성시키고자하였고이를위해 2개의토치를사용하여용접하는트윈토치 CMAW 를연구하였다. 2. 사용재료및실험방법 2.1 사용재료 본연구에서사용한기판은폭 500mm, 길이 300mm, 두께 6mm 크기의 SS41 강판이고사용된와이어 (wire) 의종류는 YGW 12, 직경 1.6mm의솔리드와이어 (solid wire) 이다. Table 1은사용된파우더의화학성분을나타낸다. 2.2 실험방법기판위에파우더와와이어를동시에송급하면서비드온플레이트 (bead on plate) 로용접했다. Fig. 1은트윈토치 CMAW 실험을위해구성한장치사진이다. 용접기, 와이어송급장치, 토치, 파우더송급장치를각각 2대씩설치하여용접하였고 2대의아크모니터링장치를이용하여용접품질을모니터링하였다. Table 2는 Table 1 Chemical composition of powder (wt%) C Cr Mn, Nb, W, V, Co bal. 용접조건을나타내며공통조건및각실험별개별조건은다음과같다 파우더송급위치변경실험 Fig. 2는파우더송급위치의예를나타내며 wire, substrate, arc 의중앙및 2/3 위치에두고실험을하였다 진행각변경실험 Fig. 3은진행각의예를나타내며그림과같이전진각 20, 진행각 0, 후진각 20 로두고실험하였다. Fig. 1 Equipment for twin torch CMAW Table 2 Welding condition for twin torch CMAW (a) Constant conditions Contents Conditions Power source Inverter 500A (2set) 500*300*6t Wire diameter Ф1.6 Set current / voltage 500A / 45V Welding speed 22cpm Distance between torches 35mm Width 35mm Oscillation Lead 7.5mm CTWD 40mm (b) Variable conditions Contents Conditions Wire Powder feeding point Arc center 2/3point in arc Forehand 20 Progressive angle Progressive 0 Backhand 20 10mm (25mm) 5mm (30mm) Oscillation overlap 0mm (35mm) ( Distance between torches ) -5mm (40mm) -10mm (45mm) 大韓熔接 接合學會誌第 26 卷第 2 號, 2008 年 4 月 153

3 52 조상명 김성덕 황규민 Table 3 Waveform for each powder feeding point Feeding point Waveform (a) Wire (b) Wire (c) Arc center (d) 2/3 point in arc Fig. 2 Schematic for powder feeding point Arc center (a) forward 20 (b) progressive 0 (c) backward 20 Fig. 3 Schematic for the progressive angle 2/3 point in arc 오실레이션오버랩변경실험두개의토치간에일정한간격을두고오실레이션하여용접하기때문에토치간거리를조절해서오실레이션오버랩폭을변경할수있으며 -10 에서 10mm 까지변경하여실험을하였다. 3. 실험결과및고찰 3.1 아크안정성평가실험결과 파우더송급위치에따른아크안정성평가결과 Table 3은파우더송급위치를와이어, 기판, 아크중앙및아크의 2/3 지점에두었을때의용접전류와전압파형을나타낸다. 아크의 2/3 지점에파우더를송급했을때가가장안정적임을알수있다. 정량적인아크안정성평가를위해저항변동계수를이용할수있다. 식1은저항변동계수를구하는식이다. 저항변동계수는용접저항의표준편차를평균용접저항으로나누어구하며단위는무차원이다. (1) 아크는전류흐름을방해하는일종의저항체로저항변동계수는곧아크변동의지표이다. 저항변동계수값이낮다는것은아크의변동이적다는것을나타내고반대로값이크면아크의변동이많음을나타낸다. 이값을통해아크안정성을평가할수있다. Fig. 4는정량적인아크안정성평가를위해파우더송급위치별저항변동계수를나타낸것이다. 가장낮은저항변동계수값을갖는위치는아크의 2/3 지점이고이곳에서아크가가장안정적이었다. Coefficient of resistance variation, % Wire Arc center 2/3 in arc Fig. 4 The effect of wire feeding point on coefficient of resistance variation 154 Journal of KWJS, Vol. 26, No. 2, April, 2008

4 내마모판의광폭경화육성용접비드형성을위한트윈토치 CMAW 공정개발 진행각변경에따른아크안정성평가결과 Fig. 5은진행각에따른저항변동계수를나타낸다. 후진각 20 일때의저항변동계수값이가장낮고아크안정성이가장우수하였다. 3.2 오실레이션오버랩변경실험결과및고찰 Table 4는트윈토치를이용하여오실레이션오버랩을 10에서 -10mm로변경하면서용접했을때의아크및비드표면사진이다. 오실레이션오버랩이 5mm 및 10mm일때, 아크쏠림현상이발생했고 0mm, -5mm 및 -10mm 에서는아크간간섭없이안정적으로용접이가능했다. Moon 7) 을비롯한많은연구에서는전극중심에서 10mm 이상떨어지면유도자기장의영향이급격히감소한다고보고하고있다. 하지만본실험에서는토치가용접진행방향의횡방향으로고속오실레이션을하고 500A 의대전류를사용하기때문에토치간거리가 30mm에서도아크쏠림현상이발생한것으로보인다. 오실레이션오버랩이 +5mm 이상으로중첩된경우 6t기판의중심에입열이집중되어용락이발생하였고 -10mm 인경우에는비드간의분리가일어났다. Table 4 Comparison of different oscillation overlap Oscillation overlap (Distance between torches) 10mm (25mm) 5mm (30mm) 0mm (35mm) -5mm (40mm) Arc Bead surface 3.3 광폭비드를얻기위한실험결과 트윈토치 CMAW실험은아크가가장안정한조건인아크 2/3 지점에파우더를송급하면서후진각 20 를갖는토치를병렬로연결하여오실레이션오버랩 0mm 로용접하였다. Fig. 6은트윈토치를사용한용접부중 2곳의횡단면사진이다. 2개의토치를사용하여하나의용융풀을형성할수있음을확인했고용입도균일하였다. 또한 -10mm (45mm) Coefficient of resistance variation, % Forehand 20 Progessive 0 Backhand 20 Fig. 5 The effect of progressive angle on coefficient of resistance variation Fig. 6 Cross section by twin torch welding 비드표면에서 2mm 아래 10점의경도를측정한결과평균경도는 733Hv 로나와내마모판의요구경도를만족하였다. 3.4 트윈토치 CMAW 실험결과에대한고찰 용접품질향상 Fig. 7은싱글및트윈토치로용접한내마모판의횡 大韓熔接 接合學會誌第 26 卷第 2 號, 2008 年 4 月 155

5 54 조상명 김성덕 황규민 (a) Single torch (b) Twin torch Fig. 7 Top view and cross section by single and twin torch welding 단면을나타낸것이다. 싱글토치용접의경우비드연결부에서응고비드와용융비드간의연결부가존재하고이곳에서골또는산이형성된다. 그러나트윈토치용접의경우비드연결부는용융비드와용융비드간의연결로이루어져있고골또는산이없는평탄한비드표면을갖는다 광폭비드확보를통한생산성향상트윈토치를적용한 CMAW공정은싱글토치를사용했을때보다동일용접시간동안 2배이상의넓은용접부를형성할수있기때문에내마모판생산시간을단축시켜생산성향상을이룰수있다. 4. 결론 내마모판의광폭경화육성용접비드형성을위한트윈토치 CMAW 공정개발에대한연구결과다음결론을얻었다. 1) 후진각20 를가진토치앞쪽에서아크의 2/3 상부위치에컴파운드파우더를송급할때아크안정성이가장우수하여안정적인용접품질을얻을수있었다. 2) 토치간거리가 30mm 이내에서는아크쏠림이발생하였지만 35mm 이상떨어졌을때는아크쏠림없어, 트윈토치 CMAW 용접을위해서토치간간격을 35mm 이상으로하는것이바람직하였다. 3) 오실레이션오버랩이 10mm 와 5mm 일때는용락이발생하였으며 -10mm에서는비드가분리되었다. 적정오실레이션오버랩은 0mm 내지 -5mm 이었다. 4) 기존싱글토치의비드연결부는골또는산이형성되기쉬웠지만트윈토치에비드연결부는표면의평탄도가매우우수한비드가형성되어용접품질이현저히우수하여졌다. 5) 트윈토치를적용한 CMAW 공정은동일용접시간동안넓은용접부를형성할수있기때문에내마모판생산시간을단축시켜생산성향상을이룰수있다. 참고문헌 1. J. S. Kim, et al. : Development of the Extreme Wear Resistance Clad Plate, Korea Institute of Science and Technology, final report in 3rd year (1991), (In Korean) 2. E.R.Beak, J.Y.Jung, S.H.Ahn : Trand of the weld surfacing technology, Journal of KWS, 15-5 (1997), (In Korean) 3. E.R.Beak : Welding characteristics of the hardfacing high chromium iron alloys by the self-shield flux cored arc welding process, Journal of KWS, 10-1 (1992), (In Korean) 4. Ming-Der Jeana, Chyuan-Du Liub, Jen Ting Wang : Design and development of artificial neural networks for depositing powders in coating treatment, Applied Surface Science 245 (2005), Chieh Fan : Microstructure change caused by (Cr,Fe)23C6 carbides in high chromium Fe.Cr.C hardfacing alloys, Surface & Coatings Technology (2006), J.Tusek : Mathematical modeling of melting rate in twin-wire welding, Journal of Materials Processing Technology, 100 (2000), H.S. Moon, S.H. Ko, Y.B. Kim : Automatic Multitorch Welding System with High Speed, Journal of KWS, 25-2 (2007), (In Korean) 156 Journal of KWJS, Vol. 26, No. 2, April, 2008

<3036C0FAC0DAC6AFC1FDBCF6C1A42D3637B1E8B5BFC0B15B315D2E687770>

<3036C0FAC0DAC6AFC1FDBCF6C1A42D3637B1E8B5BFC0B15B315D2E687770> 大韓熔接 接合學會誌第 32 卷 6 號別冊 2014. 12 35 연구논문 ISSN 1225-6153 Online ISSN 2287-8955 김동윤 * 황인성 *, 김동철 * 강문진 * * 한국생산기술연구원용접접합연구실용화그룹 Evaluation of Gas Metal Arc Characteristics according to Contact Tip Materials

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 67 연구논문 FCAW 에서의아크길이추정방법개발에관한연구 배광무 * 조상명 **, * 대우조선해양산업기술연구소 ** 부경대학교신소재공학부소재프로세스공학전공 A Study on the Development of Arc Length Estimation Method in FCAW Kwang-Moo Bae* and Sang-Myung Cho**, *Daewoo Shipbuilding&Marine

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 88 연구논문 김철희 * 채현병 * 이창우 * 김정한 * 이세헌 ** * 한국생산기술연구원정밀접합팀 ** 한양대학교공과대학기계공학부 Development of Laser-Rotating Arc Hybrid Welding Process Cheol-Hee Kim*, Hyun-Byung Chae*, Chang-Woo Lee*, Jeong-Han Kim* and Se-Hun

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 64 연구논문 GMA 용접에서실시간비드폭예측에관한연구 손준식 * 김일수 ** 김학형 ** * ( 주 ) 프로맥스기술연구소 ** 목포대학교기계공학과 *** 목포대학교대학원기계공학과 A Study on Real-time Prediction of Bead Width on GMA Joon-Sik Son*, Ill-Soo Kim** and Hak-Hyoung Kim***

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 42 연구논문 용접에서용입형상비에미치는아크길이와실드가스의영향 박인기 * 함효식 ** 조상명 *** * CS 윈드타워중국 ** 부경대학교대학원소재프로세스공학전공 *** 부경대학교신소재공학부 The Eeffect of Arc Length and Shield Gas on Penetration Aspect Ratio in Welding In-Ki Park*, Hyo-Sik

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 15 특집 : 용접안전과보건위생및고능률용접공정 레이저 -GMA 하이브리드용접공정에서의용접현상 김철희 채현병 김준기 김정한 Welding Phenomena in Laser-GMA Hybrid Welding Process with and without Arc Rotation Cheol-Hee Kim, Hyun-Byung Chae, Jun-Ki Kim and Jeong-Han

More information

fm

fm [ ] w wz DOI: 10.3740/MRSK.2009.19.12.692 Kor. J. Mater. Res. Vol. 19, No. 12 (2009) y INCONEL 718w Gas Tungsten Arc Welding» p sƒ ½»y Á *Á *Á y** ( ) d lj p wœq, *w wœ» q **( ) d lj p t Mechanical Properties

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 85 연구논문 듀얼반응표면법을이용한 V-그루브 GMA 최적화에관한연구 용접공정 박형진 * 안승호 ** 강문진 *** 이세헌 * * 한양대학교대학원기계공학과 ** 한국철도대학운전기전과 *** 한국생산기술연구원정밀접합팀 A Study on the Optimization for a V-groove GMA Welding Process Using a Dual Response

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 44 연구논문 TIG 용접에서열유속이용융효율과용입형상에미치는영향 오동수 *, 조상명 ** * 한국폴리텍 Ⅶ 대학창원캠퍼스산업설비자동화과 ** 부경대학교신소재공학부소재프로세스공학전공 Effect of Heat Flux on the Melting Efficiency and Penetration Shape in TIG Welding Dong-Soo Oh*, and

More information

<30362D322DBCF6C1A42DBDC5BBF3C8A FC7D0C8B8BCF6C1A42E687770>

<30362D322DBCF6C1A42DBDC5BBF3C8A FC7D0C8B8BCF6C1A42E687770> 뉴럴네트워크알고리즘을이용한비드가시화 大韓熔接 接合學會誌第 31 卷 5 號別冊 2013. 10 35 연구논문 뉴럴네트워크알고리즘을이용한비드가시화 구창대 * 양형석 * 김중영 ** 신상호 ***, * 한국폴리텍Ⅵ대학산업설비학과 ** 한국폴리텍Ⅴ 대학산학협력팀 *** 토탈소프트뱅크의공학연구소 Using Neural Network Algorithm for Bead

More information

<31302DC0FAC0DABCF6C1A42D3431B9DAB9CEC8A32E687770>

<31302DC0FAC0DABCF6C1A42D3431B9DAB9CEC8A32E687770> GTA 아래보기 자세 다층용접부의 비드형상 예측에 관한 실험적 연구 박민호 김일수 이지혜 지종표 김영수 나상오 大 韓 熔 接 接 合 學 會 誌 第 32 卷 1 號 別 冊 2014. 2 53 연 구 논 문 ISSN 1225-6153 Online ISSN 2287-8955 GTA 아래보기 자세 다층용접부의 비드형상 예측에 관한 실험적 연구 박민호 * 김일수 *,

More information

<3039BCF6C1A4C8C42DC6AFC1FD28B8F0B4CFC5D8292DC8B2B5BFBCF62E687770>

<3039BCF6C1A4C8C42DC6AFC1FD28B8F0B4CFC5D8292DC8B2B5BFBCF62E687770> 실시간용접품질모니터링시스템의개발및적용동향 大韓熔接 接合學會誌第 3 卷 1 號別冊 212. 2 44 특집 : 용접공정의모니터링및용접품질평가기술 실시간용접품질모니터링시스템의개발및적용동향 Development and Application of Realtime Weld Quality Monitoring System Dongsoo Hwang and Mihye Gho

More information

Alloy Group Material Al 1000,,, Cu Mg 2000 ( 2219 ) Rivet, Mn 3000 Al,,, Si 4000 Mg 5000 Mg Si 6000, Zn 7000, Mg Table 2 Al (%

Alloy Group Material Al 1000,,, Cu Mg 2000 ( 2219 ) Rivet, Mn 3000 Al,,, Si 4000 Mg 5000 Mg Si 6000, Zn 7000, Mg Table 2 Al (% http://wwwtechnonetcokr (Aluminum & Aluminum BasedAlloy) : LG 1 Aluminum Table 1, 2 1000 7000 4 Al 990% Al 1XXX AlCu 2XXX AlMn 3XXX AlSi 4XXX AlMg 5XXX AlMgSi 6XXX AlZn(Mg, Cu) 7XXX 8XXX ( ) 9XXX Fig 1

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 22 연구논문 SPC 용접부의강도특성에미치는 CO 2 용접조건의영향 송준희 * 최준용 ** 임재규 *** * 전북대학교공학연구원자동차산학협력원, 성연산업 ( 주 ) ** 전북대학원기계설계학과 *** 공학연구원공업기술연구센터, 전북대학교기계항공시스템공학부 Effect of CO 2 Welding Conditions on Property of Strength in

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 8 연구논문 초내열합금분말에의한 PTA 오버레이부의연삭마모특성연구 김영식 *, 최영국 ** 임창훈 *** 김종도 **** * 한국해양대학교기계소재공학부 ** ( 주 ) 종합폴스타 *** 한국해양대학교대학원 **** 한국해양대학교기관시스템공학부 A Study on the Abrasive Wear Properties of the PTA Overlay Layers

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 69 연구논문 FAW 에서용접변수에따른용접금속강도및와이어합금원소의회수율변화 정동희 * 방국수 * 박찬 * 장웅성 ** 박철규 *** * 부경대학교 ** 포항산업과학기술연구소 *** KISWEL 연구소 Effects of Welding Parameters on Weld Metal Strength and Recovery of Alloying Elements in

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 71 연구논문 플라즈마아크오비탈용접의경사상진자세에서이면비드형성에관한연구 김효원 * 조상명 **, * 부경대학교대학원소재프로세스공학과 ** 부경대학교신소재공학부소재프로세스공학전공 A Study on Back Bead ormation in Inclined-up Position of Plasma Arc Orbital Welding Hyo-Won Kim* and Sang-Myung

More information

........

........ Investigation of the Korean Traditional Hobun Manufacturing Technique NATIONAL RESEARCH INSTITUTE OF CULTURAL HERITAGE 2008 Investigation of the Korean Traditional Hobun Manufacturing Technique - Centering

More information

<30322DC0FAC0DABCF6C1A42D3630C6AFC1FD5FC0CCC1BEC7A55B315D2DBCF6C1A42E687770>

<30322DC0FAC0DABCF6C1A42D3630C6AFC1FD5FC0CCC1BEC7A55B315D2DBCF6C1A42E687770> 탄템 GMA 용접공정의표면비드높이예측을위한 STACO 모델개발에관한연구 이종표 김일수 박민호 박철균 강봉용 심지연 大韓熔接 接合學會誌第 3 卷 6 號別冊 014. 1 8 특집논문 ISSN 15-6153 Online ISSN 87-8955 탄템 GMA 용접공정의표면비드높이예측을위한 STACO 모델개발에관한연구 이종표 * 김일수 *, 박민호 * 박철균 * 강봉용

More information

#Ȳ¿ë¼®

#Ȳ¿ë¼® http://www.kbc.go.kr/ A B yk u δ = 2u k 1 = yk u = 0. 659 2nu k = 1 k k 1 n yk k Abstract Web Repertoire and Concentration Rate : Analysing Web Traffic Data Yong - Suk Hwang (Research

More information

歯1.PDF

歯1.PDF 200176 .,.,.,. 5... 1/2. /. / 2. . 293.33 (54.32%), 65.54(12.13%), / 53.80(9.96%), 25.60(4.74%), 5.22(0.97%). / 3 S (1997)14.59% (1971) 10%, (1977).5%~11.5%, (1986)

More information

Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp DOI: : Researc

Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp DOI:   : Researc Journal of Educational Innovation Research 2017, Vol. 27, No. 2, pp.251-273 DOI: http://dx.doi.org/10.21024/pnuedi.27.2.201706.251 : 1997 2005 Research Trend Analysis on the Korean Alternative Education

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 92 연구논문 박판고속플라즈마맞대기용접에서용접시작부의용락과미용융에미치는시작블록과아크길이의영향 추용수 * 홍성준 ** 정재필 *** 조상명 **** * 부경대학교대학원소재프로세스공학과 ** LG 전자생산성연구원 *** 서울시립대학교신소재공학전공 **** 부경대학교신소재공학부소재프로세스공학전공 The Effects of Start Block and Arc Length

More information

- i - - ii - - iii - - iv - - v - - vi - - 1 - - 2 - - 3 - 1) 통계청고시제 2010-150 호 (2010.7.6 개정, 2011.1.1 시행 ) - 4 - 요양급여의적용기준및방법에관한세부사항에따른골밀도검사기준 (2007 년 11 월 1 일시행 ) - 5 - - 6 - - 7 - - 8 - - 9 - - 10 -

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 6, December, 30, 2016:237~251 Received: 2016/11/20, Accepted: 2016/12/24 Revised: 2016/12/21, Published: 2016/12/30 [ABSTRACT] Recently, there is an increasing

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 연구논문 용적내부의유동에의한모멘텀을고려한 GMA 입상용적이행에대한해석 용접의 Nabeel Arif * 이승현 * 강문진 ** 유중돈 * * 한국과학기술원기계공학과 ** 한국생산기술연구원정밀접합용접팀 Analysis of Globular Transfer Considering Momentum Induced by low Within Molten rop in GMAW

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 27 연구논문 아연도금강판의 CO 2 레이저 -TIG 하이브리드용접에관한연구 Part 2 : 공정변수와용접성과의관계김철희 * 최웅용 ** 채현병 *,*** 김정한 * 이세헌 *** * 한국생산기술연구원정밀접합팀 ** 삼성전자디지털미디어총괄 *** 한양대학교공과대학기계공학부 A Study on CO 2 Laser-TIG Hybrid Welding of Zinc-Coated

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 49 연구논문 레이저위빙을이용한 Al 6k21-T4 합금의용접강도향상 김병훈 * 강남현 *, 박용호 *, 안영남 ** 김철희 ** 김정한 ** * 부산대학교재료공학부 ** 한국생산기술연구원정밀접합팀 A Study to Improve Weld Strength of Al 6k21-T4 Alloy by using Laser Weaving Method Byung-Hun

More information

12Á¶±ÔÈŁ

12Á¶±ÔÈŁ Journal of Fashion Business Vol. 5, No. 4. pp.158~175(2001) A Study on the Apparel Industry and the Clothing Culture of North Korea + Kyu Hwa Cho Prof., Dept. of Clothing & Textiles, Ewha Womans University

More information

<B3EDB9AEC1FD5F3235C1FD2E687770>

<B3EDB9AEC1FD5F3235C1FD2E687770> 오용록의 작품세계 윤 혜 진 1) * 이 논문은 생전( 生 前 )에 학자로 주로 활동하였던 오용록(1955~2012)이 작곡한 작품들을 살펴보고 그의 작품세계를 파악하고자 하는 것이다. 한국음악이론이 원 래 작곡과 이론을 포함하였던 초기 작곡이론전공의 형태를 염두에 둔다면 그의 연 구에서 기존연구의 방법론을 넘어서 창의적인 분석 개념과 체계를 적용하려는

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 95 연구논문 맞대기 V- 그루브이음초층용접에서최적의용접조건선정 윤석철 * 김재웅 **, * 영남대학교대학원기계공학과 ** 영남대학교공과대학기계공학부 Selection of Optimal Welding Condition in Root-pass Welding of V-groove Butt Joint Seok-chul Yun* and Jae-Woong Kim*,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

<30342DC0FAC0DABCF6C1A42DC6AFC1FD3132B9DABFB5B5B55F76312E687770>

<30342DC0FAC0DABCF6C1A42DC6AFC1FD3132B9DABFB5B5B55F76312E687770> 지창욱 최철영 남대근 김형찬 장재호 김기혁 박영도 大韓熔接 接合學會誌第 32 卷 1 號別冊 2014. 2 15 특집논문 ISSN 1225-6153 Online ISSN 2287-8955 지창욱 * 최철영 * 남대근 ** 김형찬 ** 장재호 ** 김기혁 *** 박영도 ****, * 부산대학교재료공학과 ** 한국생산기술연구원동남권지역본부 *** 동국제강중앙기술연구소후판연구팀

More information

디지털포렌식학회 논문양식

디지털포렌식학회 논문양식 ISSN : 1976-5304 http://www.kdfs.or.kr Virtual Online Game(VOG) 환경에서의 디지털 증거수집 방법 연구 이 흥 복, 정 관 모, 김 선 영 * 대전지방경찰청 Evidence Collection Process According to the Way VOG Configuration Heung-Bok Lee, Kwan-Mo

More information

11¹ÚÇý·É

11¹ÚÇý·É Journal of Fashion Business Vol. 6, No. 5, pp.125~135(2002) The Present State of E-Business according to the Establishment Year and the Sales Approach of Dongdaemun Clothing Market Park, Hea-Ryung* and

More information

전용]

전용] A Study of select the apropos processing mechanical method by the presume of transformation of teeth s surface degree ABSTRACT This study has been tried to select the apropos processing method by the

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 72 연구논문 저항클래딩법을응용하여형성된내마모성 WC-6.5Co 미크로조직특성 클래딩층의 이진우 * 고준빈 ** 이영호 *** * 충남대학교공과대학기계공학과 ** 한밭대학교공과대학기계설계공학과 *** 충남대학교 BK21 메카트로닉스사업단 Characterization of Microstructure of WC-6.5%Co Cladding Layer by Electric

More information

ePapyrus PDF Document

ePapyrus PDF Document Trans. of the Korean Hydrogen and New Energy Society(2013. 4), Vol. 24, No. 2, pp. 136~141 DOI: http://dx.doi.org/10.7316/khnes.2013.24.2.136 흡기관 분사식 수소 SI기관의 희박과급 적용에 관한 연구 이광주 1 ㆍ이종구 1 ㆍ이종태 2 1 성균관대학교

More information

012임수진

012임수진 Received : 2012. 11. 27 Reviewed : 2012. 12. 10 Accepted : 2012. 12. 12 A Clinical Study on Effect of Electro-acupuncture Treatment for Low Back Pain and Radicular Pain in Patients Diagnosed with Lumbar

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 38 연구논문 자동차용강판의 MAG 용접시최적용접조건선정에관한연구 방한서 * 방희선 *, 주성민 ** 노찬승 *** 성보람 **** 석한길 ***** * 조선대학교공과대학선박해양공학과 ** 포항산업과학연구원용접센터 *** 조선이공대학선박해양기계과 **** 한국선급선체기술팀 ***** 삼척대학교공과대학재료금속공학과 A Study on the Prediction

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 0 연구논문 추환수 * 조상명 **, * 부경대학교대학원소재프로세스공학과 ** 부경대학교신소재공학부소재프로세스공학전공 A Study on the Buckling in Fillet Welds of Sheets Hwan-Su Chu* and Sang-Myung Cho**, *Dept. of Materials Processing Eng., Graduate School,

More information

09È«¼®¿µ 5~152s

09È«¼®¿µ5~152s Korean Journal of Remote Sensing, Vol.23, No.2, 2007, pp.45~52 Measurement of Backscattering Coefficients of Rice Canopy Using a Ground Polarimetric Scatterometer System Suk-Young Hong*, Jin-Young Hong**,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 16 특집 : 용접자동화기술 파이프내면용접자동화장치개발에관한연구 김학형 김일수 A Study on Development of the Automated System for In-pipe Welding Hak-Hyoung Kim and Ill-Soo Kim 1. 서론 원유및액화가스수송을위한파이프라인의제조와시공공정에서용접은소재자체의품질과더불어가장중요한기술로작업환경의특수성으로인해많은제약을받고있다.

More information

1. 2. 2 1. 2 2. 2 3. 3. 3 3 1. 3 2. 3 3. 4. 4 1. : 4 2. : (KSVD) 4 3. : 88 5. 36

1. 2. 2 1. 2 2. 2 3. 3. 3 3 1. 3 2. 3 3. 4. 4 1. : 4 2. : (KSVD) 4 3. : 88 5. 36 , 1965 1994: 3 1965 (Konstfackskolan). 1996. (, 2004) :, (, 2010), 20 (, 2005), :,, (, 2005), 02: (, 2008), (KDF, 2009), (, 2011), (, 2011). ( _, 2011 3 ), : ( 前 史 ) (, 102, 2012). 35 1. 2. 2 1. 2 2. 2

More information

Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp DOI: * Suggestions of Ways

Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp DOI:   * Suggestions of Ways Journal of Educational Innovation Research 2019, Vol. 29, No. 1, pp.65-89 DOI: http://dx.doi.org/10.21024/pnuedi.29.1.201903.65 * Suggestions of Ways to Improve Teaching Practicum Based on the Experiences

More information

002-022~41-기술2-충적지반

002-022~41-기술2-충적지반 Improvement cases of waterproofing and auxiliary construction methods in alluvium soil tunnel In the past, subway tunnel is mostly applied to rock tunnel in order to secure the safety. But, in recent years,

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

한국전지학회 춘계학술대회 Contents 기조강연 LI GU 06 초강연 김동욱 09 안재평 10 정창훈 11 이규태 12 문준영 13 한병찬 14 최원창 15 박철호 16 안동준 17 최남순 18 김일태 19 포스터 강준섭 23 윤영준 24 도수정 25 강준희 26

한국전지학회 춘계학술대회 Contents 기조강연 LI GU 06 초강연 김동욱 09 안재평 10 정창훈 11 이규태 12 문준영 13 한병찬 14 최원창 15 박철호 16 안동준 17 최남순 18 김일태 19 포스터 강준섭 23 윤영준 24 도수정 25 강준희 26 2015 한국전지학회 춘계학술대회 2일차 한국전지학회 춘계 학술대회(신소재 및 시장동향 관련 주제 발표) 시간 제목 비고 세션 1 차세대 이차전지용 in-situ 분석기술 좌장 : 윤성훈 09:00~09:30 Real-time & Quantitative Analysis of Li-air Battery Materials by In-situ DEMS 김동욱(한국화학연구원)

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

04조남훈

04조남훈 Received : 2011. 11. 16 Reviewed : 2011. 11. 25 Accpeted : 2011. 12. 5 A Case Report of Prescribing Yanghyeolgeopung-tang(yangxuequfeng-tang) to Two Patients with Cervical Disc Herniation and Headache

More information

<313120B9DABFB5B1B82E687770>

<313120B9DABFB5B1B82E687770> 한국민족문화 40, 2011. 7, 347~388쪽 1)중화학공업화선언과 1973년 공업교육제도 변화* 2)박 영 구** 1. 머리말 2. 1973년, 중화학공업화선언과 과학기술인력의 부족 3. 1973년 전반기의 교육제도 개편과 정비 1) 계획과 개편 2) 기술교육 개선안과 인력개발 시책 4. 1973년 후반기의 개편과 정비 5. 정비된 정규교육제도의 특징

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 90 연구논문 Backplate 의유무에따른맞대기용접시험편의피로강도평가 한주호 * 김성민 * 이우일 * 강성원 * 김명현 *, * 부산대학교공과대학조선해양공학과 Fatigue Assessment of Butt Welded Specimen According to the Existence of the Backplate Ju-Ho Han*, Seong-Min Kim*,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 23 연구논문 신상범 * 이동주 * 이주성 ** * 현대중공업산업기술연구소 ** 울산대학교조선해양공학부 Evaluation of Buckling Distortion for the Thin Panel ed Structure According to ing Processes Sang-Beom Shin*, Dong-Ju Lee* and Joo-sung Lee** *Hyundai

More information

page 1end

page 1end C 0.0.2 ma Cr 14.5~16.5 Co 2.5 max Iron 4~7 Mn 1 max Mo 15 ~ 17 Ni Balance P 0.03 max Si 0.08 max S 0.03 max W 3 ~ 4.5 V 0.35 max 8.89g/cm 3 Multipurpose corrosion resistance of NickelMolybdenumChrome.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

12권2호내지합침

12권2호내지합침 14 OPTICAL SCIENCE AND TECHNOLOGY April 2008 15 16 OPTICAL SCIENCE AND TECHNOLOGY April 2008 17 18 OPTICAL SCIENCE AND TECHNOLOGY April 2008 19 20 OPTICAL SCIENCE AND TECHNOLOGY April 2008 21 22 OPTICAL

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 30 연구논문 공정변수에따른 Al 모재와 Fe 계합금분말의레이저오버레이층거동 유연곤 * 강남현 ** 김철희 * 김정한 * 김목순 *** * 한국생산기술연구원정밀접합팀 ** 부산대학교재료공학부 *** 인하대학교금속공학과 Effect of Process Parameters on Laser Overlay Behavior of Fe-based Alloy Powder

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 44 기술논문 로봇위빙에의한리프팅러그다층용접법개발 김영주 * 김강욱 * 김석형 * 강성원 * 김수호 * * 대우조선해양자동화연구소 Development of Multi-pass Welding Method for Lifting Lug by Robot Weaving Young-Zoo Kim*, Kang-Uk Kim*, Suk-Hyoung Kim*, Sung-Won

More information

- 2 -

- 2 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 - - 26 - - 27 - - 28 - - 29 - - 30 -

More information

대한한의학원전학회지26권4호-교정본(1125).hwp

대한한의학원전학회지26권4호-교정본(1125).hwp http://www.wonjeon.org http://dx.doi.org/10.14369/skmc.2013.26.4.267 熱入血室證에 대한 小考 1 2 慶熙大學校大學校 韓醫學科大學 原典學敎室 韓醫學古典硏究所 白裕相1, 2 *117) A Study on the Pattern of 'Heat Entering The Blood Chamber' 1, Baik 1

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 4, August, 30, 2016:319~332 Received: 2016/07/28, Accepted: 2016/08/28 Revised: 2016/08/27, Published: 2016/08/30 [ABSTRACT] This paper examined what determina

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 76 연구논문 490MPa 급고장력강탄뎀가스메탈아크용접부에대한기계적성질과미세조직비교 이희준 *, 강성수 * 유금빈 * 배원학 ** 문현수 ** * 부산대학교기계기술연구소미시소성연구실 ** 현대로템주식회사품질기획팀 Comparison of Mechanical Properties and Microstructural Charateristies of GMAW Weld

More information

<31372DC0FAC0DABCF6C1A42DC0BAC1BEB8F E687770>

<31372DC0FAC0DABCF6C1A42DC0BAC1BEB8F E687770> 펄스출력구현이가능한디지털제어의 형 CO 2 용접시스템의개발 은종목 최규하 大韓熔接 接合學會誌第 32 卷 1 號別冊 2014. 2 102 연구논문 ISSN 1225-6153 Online ISSN 2287-8955 펄스출력구현이가능한디지털제어의 형 CO 2 용접시스템의개발 은종목 *, 최규하 ** * ( 주 ) 파워웰 ** 건국대학교전기기계및전력전자연구실 Development

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 33 연구논문 조선용강재의레이저 - 아크하이브리드용접금속부충격인성에미치는용접변수의영향 홍승갑 * 이종봉 * * 포스코기술연구소접합연구그룹 Effects of Hybrid Welding Parameters on the Toughness of Weld Metal in Ship Structural Steel Seung-Gab Hong* and Jong-Bong Lee*

More information

PJTROHMPCJPS.hwp

PJTROHMPCJPS.hwp 제 출 문 농림수산식품부장관 귀하 본 보고서를 트위스트 휠 방식 폐비닐 수거기 개발 과제의 최종보고서로 제출 합니다. 2008년 4월 24일 주관연구기관명: 경 북 대 학 교 총괄연구책임자: 김 태 욱 연 구 원: 조 창 래 연 구 원: 배 석 경 연 구 원: 김 승 현 연 구 원: 신 동 호 연 구 원: 유 기 형 위탁연구기관명: 삼 생 공 업 위탁연구책임자:

More information

<30392DC0FAC0DA2DC3D6B5BFBCF82D2E687770>

<30392DC0FAC0DA2DC3D6B5BFBCF82D2E687770> 9 연구논문 Process Tape 를사용한마그네슘합금의저항점용접특성 최동순 * 김동철 *, 강문진 * * 한국생산기술연구원용접접합연구그룹 Resistance Spot Welding Characteristics of Mg Alloy Using Process Tape Dong-Soon Choi*, Dong-Cheol Kim*, and Moon-Jin Kang*

More information

늘푸른세상4월-136호

늘푸른세상4월-136호 2011 04 늘푸른세상4월-136호 2011.3.29 10:54 페이지2 고객과 함께하는 농산업 선도기업-경농 고객상담 080-900-0671 미리매 액상수화제의 특징 원액 그대로 처리하여 간편합니다. 약효지속력과 안전성이 뛰어납니다. 피를 비롯한 일년생잡초에 우수합니다. 올방개 등 다년생잡초의 초기발아를 억제합니다. 설포닐우레아계 제초제에 저항성을 보이는

More information

( )Kjhps043.hwp

( )Kjhps043.hwp Difference of Fistula Maturation Degree and Physical Property by the Types of Tube Material: An Experimental Study Sang Koo Kang, M.D. 1, Hee Chul Yu, M.D. 1,4, Woo Sung Moon, M.D. 2,4, Ju Hyoung Lee,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 74 연구논문 자동차부품의원격레이저용접기술 서정 * 이문용 ** 정병훈 ** 송문종 ** 강희신 * 김정오 * * 한국기계연구원레이저공정연구팀 ** ( 주 ) 성우하이텍기술연구소 Remote Welding of Automobile Components using CO 2 Laser and Scanner Jeong Suh*, Mun-Yong Lee**, Beong-Hun

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 38 연구논문 이주성 *, 김철호 ** * 울산대학교조선해양공학부 ** STX조선조선해양연구소 On the Fillet Weld-Induced Deformation Control by Applying the Tensioning Method Joo-Sung Lee*, and Cheul-Ho Kim** *School of Naval Architecture and

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 42 연구논문 CD 스터드용접의해석및결함분석 Part 2 : 기공제어 오현석 * 유중돈 ** * 현대모비스, 응용기술연구부 ** 한국과학기술원, 기계공학과 Analysis of CD Stud Welding Process and Defects Part 1: Control of Void Hyun-Seok Oh* and Choong-D. Yoo** *Hyundai

More information

µµÅ¥¸àÆ®1

µµÅ¥¸àÆ®1 ISSN 1976-4294 JOURNAL OF DISASTER PREVENTION 2013 54 Vol. 15 No. 1 2013 Vol.15 No.1 www.kodipa.or.kr 02 04 06 08 12 26 30 36 43 51 59 69 78 Contents 98 109 111 122 151 158 165 174 175 2 JOURNAL OF DISASTER

More information

264 축되어 있으나, 과거의 경우 결측치가 있거나 폐기물 발생 량 집계방법이 용적기준에서 중량기준으로 변경되어 자료 를 활용하는데 제한이 있었다. 또한 1995년부터 쓰레기 종 량제가 도입되어 생활폐기물 발생량이 이를 기점으로 크 게 줄어들었다. 그러므로 1996년부

264 축되어 있으나, 과거의 경우 결측치가 있거나 폐기물 발생 량 집계방법이 용적기준에서 중량기준으로 변경되어 자료 를 활용하는데 제한이 있었다. 또한 1995년부터 쓰레기 종 량제가 도입되어 생활폐기물 발생량이 이를 기점으로 크 게 줄어들었다. 그러므로 1996년부 大 韓 環 境 工 學 會 誌 特 輯 - Special Feature - 263~268. 2008. 인구구조변화에 따른 생활폐기물 발생량 현황 및 전망 서울대학교 보건대학원 Status and Forecast of the Municipal Solid Waste Generation by the Change of Population Structure Sa-rah

More information

12.077~081(A12_이종국).fm

12.077~081(A12_이종국).fm J. of Advanced Engineering and Technology Vol. 1, No. 1 (2008) pp. 77-81 y w» e wx Á w œw Fabrication of Ceramic Batch Composition for Porcelain by Using Recycled Waste Ceramic Powder Hyun Guen Han, and

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 27(2), 2007, 96-121 S ij k i POP j a i SEXR j i AGER j i BEDDAT j ij i j S ij S ij POP j SEXR j AGER j BEDDAT j k i a i i i L ij = S ij - S ij ---------- S ij S ij = k i POP j a i SEXR j i AGER j i BEDDAT

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 4 기술보고 단락이행에서스패터저감을위한전류파형제어및기계적제어기술리뷰 A Review of Welding Waveform Control and Mechanical Control Technique for Reduction of Spatter in Short Circuit Transfer Young-Sam Kim, Hoi-Soo Ryoo, Hee-jin Kim and

More information

02

02 21th 02 C.O.N.T.E.N.T.S. 21th Pukyong Journal 03 04 Pukyong Journal 05 06 Pukyong Journal 07 08 Pukyong Journal 09 10 Pukyong Journal 11 12 Pukyong Journal 13 14 Pukyong Journal 15 16 Pukyong Journal 17

More information

Microsoft Word - KSR2012A132.doc

Microsoft Word - KSR2012A132.doc YWXY º º t rzyywxyhxzy Ÿ ˆ v ¹ r A study of electric locomotive effect on automatic changeover system in neutral section ù ã ä ö ã ä ã äõ ì ã ä ãã Hyo-bum Shin *, Moon-seob Han *, Sang-hoon Chang *, Joo-rak

More information

12È«±â¼±¿Ü339~370

12È«±â¼±¿Ü339~370 http://www.kbc.go.kr/ k Si 2 i= 1 Abstract A Study on Establishment of Fair Trade Order in Terrestrial Broadcasting Ki - Sun Hong (Professor, Dept. of Journalism & Mass Communication,

More information

<30382DC0FAC0DABCF6C1A42D3739B9CEBCBAC8AF5F E687770>

<30382DC0FAC0DABCF6C1A42D3739B9CEBCBAC8AF5F E687770> GTAW 펄스용접조건에따른타이타늄정밀관의용입, 변색및굽힘특성 민성환 안성용 박지태 박영도 강남현 大韓熔接 接合學會誌第 32 卷 6 號別冊 2014. 12 4 연구논문 ISSN 1225-6153 Online ISSN 228-8955 GTAW 펄스용접조건에따른타이타늄정밀관의용입, 변색및굽힘특성 민성환 * 안성용 ** 박지태 *** 박영도 **** 강남현 **,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 39 연구논문 페라이트계스테인리스강 / 용융아연도금강이종저항점용접부의특성 김정길 * 우인수 * 정보영 * 이종봉 * * POSCO 기술연구소 Resistance Spot Weldability of Ferritic Stainless Steel and Galvanized Steel Jeong-Kil Kim*, In-Su Woo*, Boyoung Jeong* and

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

<313430333033C6AFC1FD28C3E0B1B8292E687770>

<313430333033C6AFC1FD28C3E0B1B8292E687770> 스포츠와 물리학: 구기운동 안티-싸커 와 간접-축구 DOI: 10.3938/PhiT.23.005 이 인 호 Anti-soccer and Indirect Soccer 편성은 없다고 장담한다. 벨기에(FIFA 랭킹 11위), 러시아 (FIFA 랭킹 22위), 알제리(FIFA 랭킹 26위), 그리고 한국(FIFA 랭킹 61위)으로 이어지는 H조 편성 결과이다. 이

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 44 연구논문 전정상 *, 이세헌 ** * 한양대학교기계공학부 ** 한양대학교기계공학부 A Study of Spot Welding Process to Reduce Spatter with the Hollow Tip Jungsang Jun*, and Sehun Rhee** *Dept. of Mechatronics System Engineering, Hanyang

More information

untitled

untitled [ ] œwz, 21«6y(2008) J. of the Korean Society for Heat Treatment, Vol. 21, No. 6, (2008) pp. 300~306 š y w p x*, **Á **Áy y* * ** w œ w œw, w» gœ Solid State Diffusion Brazing of the Aluminum Alloy Castings

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 17 특집 : 용접산업의시뮬레이션기법활용 외력이작용하는용접구조물에용접잔류응력이미치는영향 Influence of Welding Residual Stress on the Externally Loaded Welded Structure Hee-Seon Bang, Chang-Soo Park, Chan-Seung Ro, Chong-In Oh and Han-Sur Bang

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

원고스타일 정의

원고스타일 정의 논문접수일 : 2015.01.05 심사일 : 2015.01.13 게재확정일 : 2015.01.26 유니컨셉 디자인을 활용한 보행환경 개선방안 연구 A Study on Improvement of Pedestrian Environment on to Uniconcept Design 주저자 : 김동호 디지털서울문화예술대학교 인테리어실용미술학과 교수 Kim dong-ho

More information

300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,... (recall). 2) 1) 양웅, 김충현, 김태원, 광고표현 수사법에 따른 이해와 선호 효과: 브랜드 인지도와 의미고정의 영향을 중심으로, 광고학연구 18권 2호, 2007 여름

300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,... (recall). 2) 1) 양웅, 김충현, 김태원, 광고표현 수사법에 따른 이해와 선호 효과: 브랜드 인지도와 의미고정의 영향을 중심으로, 광고학연구 18권 2호, 2007 여름 동화 텍스트를 활용한 패러디 광고 스토리텔링 연구 55) 주 지 영* 차례 1. 서론 2. 인물의 성격 변화에 의한 의미화 전략 3. 시공간 변화에 의한 의미화 전략 4. 서사의 변개에 의한 의미화 전략 5. 창조적인 스토리텔링을 위하여 6. 결론 1. 서론...., * 서울여자대학교 초빙강의교수 300 구보학보 12집. 1),,.,,, TV,,.,,,,,,..,...,....,...

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 32 연구논문 보수용접에따른이종금속용접부의잔류응력해석 이승건 *, 진태은 * 강성식 ** 권동일 *** * 한국전력기술 ( 주 ) ** 한국원자력안전기술원 *** 서울대학교재료공학부 Residual Stress Analysis for Repair Welding in Dissimilar Metal Weld Seung Gun Lee*,, Tae Eun Jin*,

More information

<31362DB1E8C7FDBFF82DC0FABFB9BBEA20B5B6B8B3BFB5C8ADC0C720B1B8C0FC20B8B6C4C9C6C32E687770>

<31362DB1E8C7FDBFF82DC0FABFB9BBEA20B5B6B8B3BFB5C8ADC0C720B1B8C0FC20B8B6C4C9C6C32E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 4 pp. 1525-1531, 2012 http://dx.doi.org/10.5762/kais.2012.13.4.1525 저예산 독립영화의 구전 마케팅을 위한 스마트폰 모바일 애플리케이션 모델 개발 연구 김혜원 1* 1 청운대학교

More information

본문.PDF

본문.PDF ' Zr-Nb-Sn-Fe-X Evaluation of Corrosion and Mechanical Properties of Zr-Nb-Sn-Fe-X Alloys for Fuel Claddings,,, 15 36 LiOH 4 Zr-Nb-Sn-Fe-X. LiOH Zr-Nb-Sn-Fe-X, LiOH Zircaloy-4.. 47 52. Abstract The corrosion

More information

부산교육 311호

부산교육 311호 Contents Busan Education 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 37 40 41 42 43 44 45 46 47 (School Based Management) 48 49 50 51 52 53 54 55 56 57 60 61 62 63 64 65 66

More information

<C0E5BAF1BCD2B0B328C0FCC3BC292E687770>

<C0E5BAF1BCD2B0B328C0FCC3BC292E687770> 실시간용접품질관리및평가용모니터링시스템소개의건 1. 회사소개 용접분야에서도이제는용접이가능한가어떤가의여부보다는품질과생산성이기업의부가가치창출에있어 서중요한요소로대두되고있습니다. 특히용접현장에는자동설비와로봇은물론이고컴퓨터를직접적용하는추 세에있어이들도구를최대한효율적으로활용하는게관건이되고있습니 이러한현실에대응하기위해 1998 년모니텍코리아를설립, 오로지용접모니터링분야만을연구개발하여왔으

More information