Microsoft PowerPoint - Divider2.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - Divider2.ppt"

Transcription

1 이강좌는과학기술부의국가지정연구실인연세대학교이용석교수연구실 ( 프로세서연구실 ) 에서 C&S Technology 사의지원을받아서제작되었습니다 고성능부동소수점나눗셈기 Goldschmidt`s 연세대학교전기전자공학과프로세서연구실박사과정정우경 yonglee@yonsei.ac.kr Homepage: 전화 : 고성능마이크로프로세서구조와설계강좌시리즈 ( mpu.yonsei.ac.kr) 연세대학교전기전자공학과프로세서연구실박사과정정우경 yonglee@yonsei.ac.kr 1. 반도체산업과비메모리분야육성을위한방안 (1998.). 고성능마이크로프로세서구조의개요 (1998.) 3. 고성능마이크로프로세서명령어해석기 (Instruction Decoder) 의구조 (1998.3) 4. 고성능마이크로프로세서분기명령어 (Branch Instruction) 의수행방법 (1998.3) 5. 고성능마이크로프로세서곱셈기 (Multiplier) 의구조 (1998.3) 6. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (1999.3) 7. 고성능마이크로프로세서캐쉬 (Cache) 메모리구조 (1999.3) 8. 고성능마이크로프로세서나눗셈연산기 (Divider) 의구조 (1999.3) 9. 고성능마이크로프로세서초월함수 (Transcendental) 연산기구조 (1999.3) 10. 고성능마이크로프로세서 ALU 와레지스터 파일의구조 (000.1) 11. 직접디지털주파수합성기 (DDFS) 의구조 (000.1)

2 1. 암호화를위한 VLSI 구조와설계의개요 (000.1) 13. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 () (000.1) 14. 고성능부동소수점나눗셈기 : Goldschmidt s s (00.) -5- 참고문헌 [3] D.A.Patterson and J.L.Hennesy, Computer Architecture: a Quantitative Approach,, nd Ed., Morgan Kaufmann, pp.a13-a61, A61, 1996 [4] R.E.Goldschmidt, Applications of Division by Convergence, MS thesis, Dept. of EE, M.I.T., Cambridge, Mass., June [5] 정재원, 내장형프로세서를위한 IEEE-754 고성능부동소수점나눗셈기의설계, 연세대학교전기전자공학과석사학위논문, ( 석박사학위논문 ) 고성능마이크로프로세서나눗셈연산기 (Divider) 의구조 - 고성능마이크로프로세서구조와설계강좌시리즈 8번 * Restoring division (RD) * Non-restoring division (NRD) * Radix-4 4 SRT division * Square root algorithm Floating Point Division ( 참고문헌 [1]) * FP multiplier, adder 에비해느린발전 * FP division: low frequency, high latency - 최대 CPI 까지증가 [1] S.F.Oberman and M.J.Flynn, "Design Issues in Division and Other Floating-Point Operations," IEEE Trans. on Comp., vol.46, no., Feb. 1997, pp [] P.Soderquist and M.Leeser,, "An Area/Performance Comparison of Subtractive and Multiplicative Divide/Square Root Implementations," Proc. 1th IEEE Symp. Computer Arithmetic,, IEEE, 1995, pp * Instruction mix - 3% division, 0.33% sqrt, 37% multiply, 55% add * Stall - 40% division, 4% add, 18% multiply (divider 0, adder/multiplier 3 cycle) * Interlock distances O0 optimization, 10. O3 optimization -10-

3 Subtractive Division ( 참고문헌 []) * Subtractive methods (dedicated hardware) Radix-4 4 SRT Parallel operation, cost 크고 latency 길다. multiplier 와 de-couple * Implementation: DEC 1164 Alpha, HP PA8000, IBM/Motorola PowerPC 604, Intel P6, MIPS R4000, Sun UltraSPARC Multiplicative Division ( 참고문헌 []) * Multiplicative methods (sharing FPU multiplier) Newton-Raphson Raphson,, Goldschmidt's algorithm High speed- quadratical convergence: 각 iteration 마다두배의정확한 quotient bits 생성 Multiplier 의 latency 의증가 -1- Multiplicative Division ( 참고문헌 []) * Implementation: Newton-Raphson Raphson: : IBM RS/6000, MIPS R8000 Goldschmidt: Sun SuperSPARC, TI 의 arithmetic coprocessor Newton-Raphson ( 참고문헌 [3]) * a/b = a*1/b * 곱셈의반복을통해 1/b 을구한뒤 a를곱해나눗셈을수행한다 Newton-Raphson Newton-Raphson -b Y 0 Y 1 Y P 0 X 0 X 1 X 1/X n -b b = 0 X n = 1/b f (X) = 1/X - b X P0에서접선의기울기 = f`(x0) f`(x) = -1/X f`(x0) = -1/X0 = -Y0/(X1-X0) (X1-X0) ) = Y0X0Y X1 = X0+Y +Y0X0 = X0+(1/X +(1/X0-b)X0 = X0-bX bx0 = X0( (-bx0) -15- Q = a/b = a*1/b f(x) = 1/X-b = 0 X i+1 = XiX - f(xi)/f`(x )/f`(xi)) = Xi X + (1/Xi-b)/(1/X b)/(1/xi ) = Xi*( *(-b*xi) * 번의곱셈과 1번의뺄셈필요 * 뺄셈은 1`s complement 생성 logic 으로변환가능 * Iteration 안의곱셈이 dependent 하기때문에 parallelism 불가능 -16-

4 나눗셈예제 (1) * ( ) b( ) 의역수를구한다. 1 X 0 = 0.1 (1<b< 이므로, 1/<1/b<1) e 0 = - X 1 = X 0*(-b*X 0) = 0.1*( *0.1) *0.1) = e 1 = -4 나눗셈예제 (1) 3 X = X 1*(-b*X 1) = *( * ) * ) = e = /b = a/b = a*1/b = * = ( ) * = ( ) -18- Goldschmidt ( 참고문헌 [4]) * 분자와분모에같은수를곱해분모가 1에수렴하도록하면분자는나눗셈의몫으로수렴한다. a a*r 0 *R 1 *...*R m-1 Q Q = = b b*r 0 *R 1 *...*R m-1 1 Goldschmidt * Talyor series g(y) = g(p)+(y-p)g`(p)+(y p)g`(p)+(y-p) g``(p)/!+.. * Maclaurin series g(y) = 1/(1+y), p = 0 g(y) = 1-y+y1 -y 3 +y = (1-y)(1+y )(1+y 4 )(1+y 8 ) Goldschmidt Goldschmidt (1+y)*g(y) = (1+y)/(1+y) = 1 = (1+y)(1-y)(1+y y)(1+y )(1+y 4 )... = (1-y)*{(1+y)(1+y )(1+y 4 )...} a*(1+y)(1+y )(1+y 4 )... = Q b R 0 R 1 R R 0 R 1 R -1- R 0 = 1+y = -(1 (1-y) = -b R 1 = 1+y = -(1 (1-y ) = -b*r 0 R = 1+y 4 = -(1 (1-y 4 ) = -b*r 0 *R 1 R 3 = 1+y 8 = -(1 (1-y 8 ) = -b*r 0 *R 1 *R Q = N i / D i R n = -D n D n+1 = Dn*Rn = b*r0*r *R1*R*R3*...*... 1 n+1 = Nn*Rn = a*r0*r *R1*R*R3*...*... Q N n+1 --

5 Goldschmidt Goldschmidt N 0 N 1 D 1 = a, D 0 = b, R 0 = -D 0 = -b = 1+y = N 0 *R 0 = a*(1+y) = D 0 *R 0 = b*(1+y) = (1-y)(1+y) = 1-y R 1 = -D 1 = -(1 (1-y ) = 1+y N D = N 1 *R 1 = a*(1-y)(1+y ) = D 1 *R 1 = (1-y )(1+y ) = 1-y 4 R = -D = -(1 (1-y 4 ) = 1+y 4 Ni = a*(1+y)(1+y )(1+y 4 )...(1+y i ) Q Di = 1-y i 1-3- * Di = 1-y i 1 로수렴하기위해서는 0 y < 1 b = 1-y, 0 b < 1 * IEEE-754 부동소수점표준의 significand 1 x < 1/ x` < 1 * 분자, 분모를 1/ 로 prescale 후 iteration -4- 나눗셈예제 () 나눗셈예제 () ex) ( ) prescale: : N 1 = * = D 1 = * = R 1 = = N = * = D = * = R = = N 0 = D 0 = R 0 = = * a/b = ( ) * = ( ) -6- 비교 Reciprocal Look-up Table * Newton-Raphson 종속적인두번의곱셈 i+1 = Xi*( *(-b*xi) X i+1 * Goldschmidt s s 독립적인두번의곱셈 N i+1 = Ni*Ri, D i+1 = Di*Ri, R i+1 = -D i+1-7- * Multiplicative Division: Quadratic convergence 몫의정밀도 : * 근사역수 ROM table 이용해초기 iteration 을빠르게수행 초기값 X 0 의정밀도가 8bit 이면두번만에 3bit 의정밀도를얻는다. -8-

6 Reciprocal Look-up Table b: 1.xxxxxxxx... k-bits address N 0 X a ROM Table k *m bits data out m-bits 0.1yyyyyy : x 0 X b D 0-9- Reciprocal Look-up Table * 1.xxxx 로 access 하는값의범위 : 1.xxxx b 1.xxxx * [a,b] 안의어떤값 x에대해최대 error 를최소화하기위한 reciprocal approximation 은 /(a+b) * Relative error 의최대값 : (b-a)/(b+a) * Reci(1.xxxx) = /(1.xxxx + (1.xxxx )) = /(*1.xxxx ) = k /(1xxxx + 1/) = 0.1yyyyy... * 1yyyyy = RN( k+m+1 /(1xxxx + 1/)) data out address -30- Reciprocal Look-up Table * Table 에의한에러는 m=k+g 일때 r.e max +1) (1+1/ g +1 -(k +1) +1 ) 나눗셈예제 (3) ex) ( ) ROM table: 4bit in, 4bit out * 초기에러가표현범위밖으로작아질때까지 iteration 반복으로정확한몫을찾아간다 k=4, m=4 ROM 출력 : RN( /( /)) = (ROM table access: 주소 : 1101 출력 : 0001) X 0 = 나눗셈예제 (3) Goldschmidt Divider 의구현 N 0 = X 0 *a = * = D 0 = X 0 *b = * = R 0 = -D 0 = = N 1 = * = D 1 = * = R 1 = -D 1 = = * a/b = ( ) * = ( ) -33- * 두개의독립된곱셈동시수행 - 6 cycle latency * 개의 3x3+64 MAC unit 이용 : 최근의고성능마이크로프로세서들의 SIMD 연산기능을이용 * MAC 연산을통해 remainder 계산 * 11Kbit reciprocal ROM table 사용 -34-

7 Block Diagram Ni A 3 X 3 MAC unit Bits Adjustment B Rom Table 10-bits bits-inin 1-bits bits-out Ri Di Ri Ni constant X 3 MAC unit Bits Adjustment 3 Remainder look-up 3 Qi,Qi-1, Qi+1 Final Rounding 3 64 Ri Di constant Rounding mode -35- 각 cycle 별동작 cycle 동작 input=(a,b), output=(q) ROM table lookup, x 0 =ROMACCESS(b) d 0 =MUL(x 0,b), n 0 =MUL(x 0,a), r 0 =~d 0 d 1 =MUL(r 0,d 0 ), n 1 =MUL(r 0,n 0 ), r 1 =~d 1 q i =MUL(r 1,n 1 ) rem=a =a-mul(q i,b) q=round(q i,rem) -36- Error Analysis multiplier rounding error: 3 Em s s complement error: E ones = initial reciprocal error: E x0 -(k+1 ) (1+1/ g+1 ) < -10 Error Analysis x 0 = 1/b + E x0 N 0 = a*x 0 = a*(1/b+e x0 ) + Em D 0 = b*x 0 = b*(1/b+e x0 ) + Em R 0 = -D 0 = -b*(1/b+ b*(1/b+e x0 )-Em + E ones N 1 = N 0*R 0 = -Em +EmE ones -(a+b)e x0 (-a/b) a/b)em -abe x0 +a/be ones +a/b D 1 = -Em -be x0em +EmE ones +Em -b E x0 +be x0e ones +E ones +1 R 1 = Em +be x0em -EmE ones -Em +b E x0 -be x0e ones +1 x0em + x Error Analysis Error Analysis Q = N 1*R 1 = (a-b) b)e x0em - (b 3 +3ab )E 3 x0 Em + b E x0 Em + ab E 3 x0 E ones + a ae x0e ones + abe x0 E ones + (1-a/b) a/b)em + a/be ones - ab 3 4 E x0 + a/b Eq = (1-a/b) a/b)em + a/ + a/be ones - -8 < Eq < -8 - ab 3 4 E x0-39- * Single precision 의정밀도 : -3 * IEEE rounding 을위해 bit 정밀도가더필요 * Rounding 으로인한 1bit shift: 1bit 더필요 - -6 < Eq <

8 Design Methodology 설계결과 * C model 로 algorithm 구현 : random vector generation 으로결과비교 * Verilog HDL 로 modeling: C model 과결과비교 * Design synthesis: 0.35um CMOS standard cell library delay, area report -41- unit Divider MAC Divide only ROM table REM Round Control Bitmask gate delay 17.43ns 15.80ns ns 0.54ns 0.86ns 0.36ns area(%) Critical Path Latency Comparison D i MAC R i 입력선택 0.93ns FF output 0.6ns MAC 연산 15.80ns 1 s comp 0.ns Total 17.4ns -43- Processor Intel i486 MIPS R4000 SPARC Intel Pentium (Radix-4 4 SRT) UltraSPARC (Radix-8 8 SRT) IBM RISC/6000 (Newton-Raphson Raphson) Elbrus Ek Intel Pentium III Goldschmidt Latency ~ ~13 18~36 6 perf.. ratio

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드]

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드] 컴퓨터구조 강의노트 #8: Chapter 9: 컴퓨터산술 2008. 5. 8. 담당교수 : 조재수 E-mail: jaesoo27@kut.ac.kr 1 컴퓨터시스템구조론 제9장컴퓨터산술 (Computer Arithmetic) 2 1 핵심요점들 컴퓨터산술에있어서두가지주요관심사는수가표현되는방법 (2진수형식 ) 과기본적인산술연산들 ( 더하기, 빼기, 곱하기, 나누기

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

Microsoft PowerPoint - 15-SMT_cho.ppt

Microsoft PowerPoint - 15-SMT_cho.ppt 이강좌는과학기술부의국가지정연구실인연세대학교이용석교수연구실 ( 프로세서연구실 ) 에서 C&S Technology 사의지원을받아서제작되었습니다 SMT 마이크로프로세서구조의개요.. 연세대학교전기전자공학과프로세서연구실박사과정문병인 E-mail: yonglee@yonsei.ac.kr Homepage: http://mpu.yonsei.ac.kr 전화 : - -88 고성능마이크로프로세서구조와설계강좌시리즈

More information

Computer Architecture

Computer Architecture 정수의산술연산과부동소수점연산 정수의산술연산부동소수점수의표현부동소수점산술연산 이자료는김종현저 - 컴퓨터구조론 ( 생능출판사 ) 의내용을편집한것입니다. 3.5 정수의산술연산 기본적인산술연산들 2 2 3.5.1 덧셈 2 의보수로표현된수들의덧셈방법 두수를더하고, 만약올림수가발생하면버림 3 3 병렬가산기 (parallel adder) 덧셈을수행하는하드웨어모듈 4- 비트병렬가산기와상태비트제어회로

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

삼성955_965_09

삼성955_965_09 판매원-삼성전자주식회사 본 사 : 경기도 수원시 영통구 매탄 3동 416번지 제조원 : (주)아이젠 삼성 디지털 비데 순간온수 세정기 사용설명서 본 제품은 국내(대한민국)용 입니다. 전원, 전압이 다른 해외에서는 품질을 보증하지 않습니다. (FOR KOREA UNIT STANDARD ONLY) 이 사용설명서에는 제품보증서가 포함되어 있습니다. 분실되지 않도록

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Computer Architecture CHAPTER 컴퓨터산술과논리연산 제 3 장 컴퓨터산술과논리연산 3.1 ALU의구성요소 3.2 정수의표현 3.3 논리연산 3.4 시프트연산 3.5 정수의산술연산 3.6 부동소수점수의표현 3.7 부동소수점산술연산 3.1 ALU 의구성요소 산술연산장치 : 산술연산들 (+, -,, ) 을수행 논리연산장치 : 논리연산들 (AND,

More information

MATLAB and Numerical Analysis

MATLAB and Numerical Analysis School of Mechanical Engineering Pusan National University dongwoonkim@pusan.ac.kr Review 무명함수 >> fun = @(x,y) x^2 + y^2; % ff xx, yy = xx 2 + yy 2 >> fun(3,4) >> ans = 25 시작 x=x+1 If문 >> if a == b >>

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

public key private key Encryption Algorithm Decryption Algorithm 1

public key private key Encryption Algorithm Decryption Algorithm 1 public key private key Encryption Algorithm Decryption Algorithm 1 One-Way Function ( ) A function which is easy to compute in one direction, but difficult to invert - given x, y = f(x) is easy - given

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

초4-1쌩큐기본(정답)본지

초4-1쌩큐기본(정답)본지 초4-1쌩큐기본(정답)본지 2014.10.20 06:4 PM 페이지1 다민 2540DPI 175LPI 3~4학년군 수학 진도교재 1. 큰 수 3 4-1 2 2. 곱셈과 나눗셈 12 3. 각도와 삼각형 21 4. 분수의 덧셈과 뺄셈 34 5. 혼합 계산 43 6. 막대그래프 54 단원 성취도평가 61 쌩큐 익힘책 67 1 6000 7000 8000 9000 10000

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

(1) 주소지정방식 Address Mode 메모리접근 분기주소 명령어 직접번지 Reg. 지정 Reg. 간접 Base Index 간접 Immediate 상대번지 절대번지 Long 주소 Reg. 간접 Byte Access Bit Access 내부 Data M

(1) 주소지정방식 Address Mode 메모리접근 분기주소 명령어 직접번지 Reg. 지정 Reg. 간접 Base Index 간접 Immediate 상대번지 절대번지 Long 주소 Reg. 간접 Byte Access Bit Access 내부 Data M (1) 주소지정방식 Address Mode 메모리접근 분기주소 2. 8051 명령어 직접번지 Reg. 지정 Reg. 간접 Base Index 간접 Immediate 상대번지 절대번지 Long 주소 Reg. 간접 Byte Access Bit Access 내부 Data Memory 외부 Data Memory (2) 명령어세트 - 8051 명령어는 5 가지로분류,

More information

Python과 함께 배우는 신호 해석 제 5 강. 복소수 연산 및 Python을 이용한 복소수 연산 (제 2 장. 복소수 기초)

Python과 함께 배우는 신호 해석 제 5 강. 복소수 연산 및 Python을 이용한 복소수 연산      (제 2 장. 복소수 기초) 제 5 강. 복소수연산및 을이용한복소수연산 ( 제 2 장. 복소수기초 ) 한림대학교전자공학과 한림대학교 제 5 강. 복소수연산및 을이용한복소수연산 1 배울내용 복소수의기본개념복소수의표현오일러 (Euler) 공식복소수의대수연산 1의 N 승근 한림대학교 제 5 강. 복소수연산및 을이용한복소수연산 2 복소수의 4 칙연산 복소수의덧셈과뺄셈에는직각좌표계표현을사용하고,

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 제 8 장. 포인터 목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 포인터의개요 포인터란? 주소를변수로다루기위한주소변수 메모리의기억공간을변수로써사용하는것 포인터변수란데이터변수가저장되는주소의값을 변수로취급하기위한변수 C 3 포인터의개요 포인터변수및초기화 * 변수데이터의데이터형과같은데이터형을포인터 변수의데이터형으로선언 일반변수와포인터변수를구별하기위해

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

Yggdrash White Paper Kr_ver 0.18

Yggdrash White Paper Kr_ver 0.18 White paper (ver 0.18) 1 ,.,.?.,,,???..,,..,.,...,.,., p2p.. Team Yggdrash 2 1. 1.1 Why, Another, Blockchain? (,,?) 1.1.1, (TPS) / (Throughput),?. DApp., DB P2P..,.. DApp.... 2012 2 2018 2, 150GB, 14..

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

슬라이드 1

슬라이드 1 3.7 The Inverse -transfor f ( ) Z F( ) long dvson 2 expanson n partal dvson 3 resdue ethod 3.7. Long-Dvson Method B () F( ) B( ) 를 A( ) A () 로나누어 의 negatve power seres 로표현해계수를구함 Regon of Convergence(ROC)

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

슬라이드 1

슬라이드 1 9. 소규모의방정식을풀기 9. 순수 Guss 소거법 9. 피봇팅 9.4 삼중대각시스템 어떤원리에의해다음과같은 MATLAB 명령어가수행되는가? >> =A\ >> =iva)* 9. 소규모의방정식을풀기 /6) 컴퓨터를필요로하지않고소규모연립방정식 ) 에적합한방법 - 도식적방법, Crmer 공식, 미지수소거법 도식적인방법 8 9 두연립선형대수방정식의도식적인해 교점이해를나타냄

More information

I

I I II III (C B ) (C L ) (HL) Min c ij x ij f i y i i H j H i H s.t. y i 1, k K, i W k C B C L p (HL) x ij y i, i H, k K i, j W k x ij y i {0,1}, i, j H. K W k k H K i i f i i d ij i j r ij i j c ij r ij

More information

OR MS와 응용-03장

OR MS와 응용-03장 o R M s graphical solution algebraic method ellipsoid algorithm Karmarkar 97 George B Dantzig 979 Khachian Karmarkar 98 Karmarkar interior-point algorithm o R 08 gallon 000 000 00 60 g 0g X : : X : : Ms

More information

A 001~A 036

A 001~A 036 4 3 2 0 8 91 0 1 2 3 4 5 6 08 09 00 01 02 03 04 18 19 10 29 20 22 23 39 30 31 32 33 48 49 40 41 59 50 69 1 2 3 4 1 2 3 4 1 4 7 10 13 1 2 3 4 5 6 rev. C C r C a f h f h L h h nrpm f h f n L C 3 P L

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

슬라이드 제목 없음

슬라이드 제목 없음 OFDM (Orthogonal Frequency Division Multiplexing) 서울대학교이동통신연구실 1 Contents Introduction Generation of subcarriers using the IFFT Guard time and cyclic extension Windowing Choice of OFDM parameters OFDM

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 -

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 - (51) Int. Cl. (19) 대한민국특허청(KR) (12) 등록특허공보(B1) H04B 7/04 (2006.01) H04B 7/02 (2006.01) H04L 1/02 (2006.01) (21) 출원번호 10-2007-0000175 (22) 출원일자 2007년01월02일 심사청구일자 2008년08월26일 (65) 공개번호 10-2008-0063590 (43)

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

DW 개요.PDF

DW 개요.PDF Data Warehouse Hammersoftkorea BI Group / DW / 1960 1970 1980 1990 2000 Automating Informating Source : Kelly, The Data Warehousing : The Route to Mass Customization, 1996. -,, Data .,.., /. ...,.,,,.

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Microprocessor) 1. 마이크로프로세서란? 1 작은실리콘칩위에트랜지스터를수천만개집적한소자 2 마이크로 [μ] 는매우작은크기, 프로세서는처리기혹은 CPU를뜻하므로

More information

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte 스마트폰을 위한 A/V 신호처리기술 편집위원 : 김홍국 (광주과학기술원) 스마트폰에서의 영상처리를 위한 GPU 활용 박인규, 최호열 인하대학교 요 약 본 기고에서는 최근 스마트폰에서 요구되는 다양한 멀티미 디어 어플리케이션을 embedded GPU(Graphics Processing Unit)를 이용하여 고속 병렬처리하기 위한 GPGPU (General- Purpose

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

<C7D1B1B9B0E6C1A6BFACB1B8C7D0C8B828C0CCC1BEBFF85FC0CCBBF3B5B75FBDC5B1E2B9E9292E687770>

<C7D1B1B9B0E6C1A6BFACB1B8C7D0C8B828C0CCC1BEBFF85FC0CCBBF3B5B75FBDC5B1E2B9E9292E687770> 한국 증권회사의 효율성 분석 이종원* 이상돈** 신기백*** Ⅰ. 서 론 1990년이후 증권시장의 개방화 및 자율화가 진전되어가고 있던 과정에서 1997년 12월 외환 위기사태가 발생하게 되었고, 이후 증권회사의 구조조정 가속화, 외국계 증권회사의 진입 확대 및 IT기술의 발전에 따른 증권 온라인거래의 확대, 외국인의 투자한도 완전철폐에 따른 외국인 거래비중의

More information

특목고 8-나 해설Ⅰ(001~024)OK

특목고 8-나 해설Ⅰ(001~024)OK I II III I Step - - - - - - - - 8 - - 0 - - - 9 - - 9 - - 00-8 - 90 - - 80-0 8-0 - - - - - 0 0 0-0 - - 8 - - - 00 8-00 8-0 0 8 - ( 8) ( ) ( ) ( ) ( ) ( ) ( ) ( ) 8 a a b b aabb bbaa abba baab abab baba

More information

Microsoft PowerPoint - a2.ppt [호환 모드]

Microsoft PowerPoint - a2.ppt [호환 모드] 마이크로컴퓨터의기본구조 2 장 x86 프로세서구조 ALU: 산술논리연산제어장치 (CU): 실행순서제어클럭 : 구성요소들의동작동기화 CPU + memory + I/O + bus 어셈블리언어 2 클럭 (Clock) CPU 와 Bus 동작은클럭에동기되어동작을한다. 메모리읽기사이클과대기상태 1 클럭사이클동안간단한동작을수행한다. 기계어명령어수행에적어도 1 클럭사이클이필요함

More information

Microsoft Word - 1. ARM Assembly 실습_xp2.doc

Microsoft Word - 1. ARM Assembly 실습_xp2.doc ARM asm 의구조 ARM Assembly 실습 1. 기본골격 AREA armex,code, READONLY ;Mark first instruction to execute start MOV r0, #10 MOV r1,#3 ADD r0, r0, r1 ; r0 = r0 + r1 stop NOP NOP B stop ; Mark end of file 위의 asm의구조를이해하고실행해보세요.

More information

시작하기 시작할 준비가 되었으면 다음 설명에 따라 설문조사를 실시한다. 1단계: 허락받기 클럽을 떠나는 회원에게 에 응해 줄 것인지 물어본다. 이 설문 조사는 클럽의 문제점을 보완해 향후 같은 이유로 이탈하는 회원들이 없도록 하기 위한 것이며, 응답 내용은 대외비로 처

시작하기 시작할 준비가 되었으면 다음 설명에 따라 설문조사를 실시한다. 1단계: 허락받기 클럽을 떠나는 회원에게 에 응해 줄 것인지 물어본다. 이 설문 조사는 클럽의 문제점을 보완해 향후 같은 이유로 이탈하는 회원들이 없도록 하기 위한 것이며, 응답 내용은 대외비로 처 떠나는 이유 알아보기 왜 클럽을 떠나는가? 이는 클럽을 떠나기로 결심한 동료들에게 반드시 물어봐야 할 질문이다. 그리고 그 답이 무엇이든 다시는 같은 이유로 클럽을 떠나는 회원이 없도록 개선책을 마련해야 한다. 를 사용해 왜 회원들이 클럽을 떠나는지, 그리고 앞으로 회원들의 이탈을 막으려면 어떻게 해야 할 것인지 논의를 시작한다. 클럽 회원위원회는 이 설문조사를

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

A y y y y y # 2#

A y y y y y # 2# 0. 9 A 0 0. 0-0.5748 0 0.454545 04 0.4 05 0.5 06 0.4 07-0.555 08 0.9666 09 5@ 5@ 00 0.5 0 5 5 5@ 5 # # 7 0.07 0.5 0.55 4 0.5 5 0.06 6 7 8 \ 9 \ 0 \ 0.^ 40-.4^0^ 4 50.^5^ 5 55.0^5^ 6 0.4^857^4857 7 0.^8^8

More information

14.531~539(08-037).fm

14.531~539(08-037).fm G Journal of the Korea Concrete Institute Vol. 20, No. 4, pp. 531~539, August, 2008 š x y w m š gj p { sƒ z 1) * 1) w w Evaluation of Flexural Strength for Normal and High Strength Concrete with Hooked

More information

20150415_032300011102_0.xls

20150415_032300011102_0.xls [주식형 펀드] [ 자산운용보고서 ] (운용기간: 2015년 01월 16일 2015년 04월 15일) o 이 상품은 [주식형 펀드] 로서, [추가 입금이 가능한 추가형이고, 다양한 판매보수의 종류를 선택할 수 있는 종류형 펀드입니다.] o 자산운용보고서는 자본시장과 금융투자업에 관한 법률에 의거 자산운용회사가 작성하며, 투자자가 가입한 상품의 특정기간(3개월)동안의

More information

RRH Class-J 5G [2].,. LTE 3G [3]. RRH, W-CDMA(Wideband Code Division Multiple Access), 3G, LTE. RRH RF, RF. 1 RRH, CPRI(Common Public Radio Interface)

RRH Class-J 5G [2].,. LTE 3G [3]. RRH, W-CDMA(Wideband Code Division Multiple Access), 3G, LTE. RRH RF, RF. 1 RRH, CPRI(Common Public Radio Interface) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 276 282. http://dx.doi.org/10.5515/kjkiees.2015.26.3.276 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) RRH

More information

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp RUF * (A Simple and Efficient Antialiasing Method with the RUF buffer) (, Byung-Uck Kim) (Yonsei Univ. Depth of Computer Science) (, Woo-Chan Park) (Yonsei Univ. Depth of Computer Science) (, Sung-Bong

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

Microsoft Word - SRA-Series Manual.doc

Microsoft Word - SRA-Series Manual.doc 사 용 설 명 서 SRA Series Professional Power Amplifier MODEL No : SRA-500, SRA-900, SRA-1300 차 례 차 례 ---------------------------------------------------------------------- 2 안전지침 / 주의사항 -----------------------------------------------------------

More information

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D>

<4D6963726F736F667420506F776572506F696E74202D2028B9DFC7A5BABB2920C5C2BEE7B1A420B8F0B5E220C8BFC0B220BDC7C1F520BDC3BDBAC5DB5FC7D1B1B94E4920C0B1B5BFBFF85F3230313020505620576F726C6420466F72756D> 태양광 모듈 효율 실증 테스트 시스템 National Instrument Korea 전략마케팅 / 팀장 윤 동 원 1 회사 소개 소재: 미국 텍사스 오스틴 설립일: 1976년 지사 및 직원: 40여 개국의 지사, 4,300명의 직원 2007년 매출: $740M R&D 투자: 1) 사업비의 16% R&D 투자 2) 1,400명 이상의 R&D인력 대표 제품: LabVIEW,

More information

Microsoft PowerPoint - 강의2.ppt

Microsoft PowerPoint - 강의2.ppt Chap 2. Embedded Processors 서경대학교컴퓨터공학과교수이광엽 kylee@skuniv.ac.kr Embedded Processors Embedded processors 발전 Microprocessors 발전 better fabrication technology standalone microcontrollers integrated processors

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

08원재호( )

08원재호( ) 30 2 20124 pp. 173~180 Non-Metric Digital Camera Lens Calibration Using Ground Control Points 1) 2) 3) Abstract The most recent, 80 mega pixels digital camera appeared through the development of digital

More information

untitled

untitled CLEBO PM-10S / PM-10HT Megapixel Speed Dome Camera 2/39 3/39 4/39 5/39 6/39 7/39 8/39 ON ON 1 2 3 4 5 6 7 8 9/39 ON ON 1 2 3 4 10/39 ON ON 1 2 3 4 11/39 12/39 13/39 14/39 15/39 Meg gapixel Speed Dome Camera

More information

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final

Microsoft PowerPoint - Industry_Semicon_IT Divergence_160404-final Apr 4, 216 Part 1 #1 반도체산업 IT Convergence vs Divergence [반도체] 최도연 3771-977 doyeon@iprovest.com [IDEA] IT Divergence 시대에서의 반도체 산업 전망 [업황] 메모리 반도체는 공급 초과. 비메모리는 재고 상당량 해소 [섹터뷰] 향후 반도체 수요 방향성 - DRAM

More information

untitled

untitled Chapter 5 Gases 3 5.1 2 NaN 3 (s) 2Na(s) + 3N 2 (g) Air bag 45.5L sodium azide?,,? 3 5.2 ? 1.,,, 2. P, V, n, T ( ) 3. 3 5.3 5.1,, = 1L = 10 3 cm 3 = 10-3 m 3 m=m n ( ) T k = t c + 273.15 : psi, mmhg, atm(

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

32

32 3 1 32 3 3 20 1 21 N G O re f o rm u l a t i o n 1 2 1 2002 p 458 34 2 g e n d e r 2 3 5 36 3 c a re 4 5 e s s e n t i a l i s m M a rg a re t T h a t c h e r I n d i r a G a n d h i w a r r i o r 2 3

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

±è¼ºÃ¶ Ãâ·Â-1

±è¼ºÃ¶ Ãâ·Â-1 Localization Algorithms Using Wireless Communication Systems For efficient Localization Based Services, development of accurate localization algorithm has to be preceded. In this paper, research trend

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

<33312D312D313220C0CCC7D1C1F820BFB0C3A2BCB12E687770>

<33312D312D313220C0CCC7D1C1F820BFB0C3A2BCB12E687770> Journal of the Society of Korea Industrial and Systems Engineering Vol No pp March 8 Scatter Search를 이용한 신뢰성 있는 네트워크의 경제적 설계 * ** * ** Economic Design of Reliable Networks Using Scatter Search HanJin Lee*

More information

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt 고속 Row Cycle 동작이가능한 VPM (Virtual Pipelined Memory) 구조에 대한연구 1998. 12. 28. 윤치원 1 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제

More information

초보자를 위한 C++

초보자를 위한 C++ C++. 24,,,,, C++ C++.,..,., ( ). /. ( 4 ) ( ).. C++., C++ C++. C++., 24 C++. C? C++ C C, C++ (Stroustrup) C++, C C++. C. C 24.,. C. C+ +?. X C++.. COBOL COBOL COBOL., C++. Java C# C++, C++. C++. Java C#

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

보고서(겉표지).PDF

보고서(겉표지).PDF 11-13 10148-000092- 01 200 1 04 ( ) 2 0 0 1 ( ) E fficient Rules for Oper atin g the Det en tion B asin an d P umpin g St ation ( ) 2 0 0 1. 12 1 2 11-1310148- 000092-01 ( ) E fficien t Ru les for Oper

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

sw $6,50($1) I3 If register read/write can be done in one cycle, only 2 s are needed. (Both are correct) lw $1,40($6) I1 add $6,$2,$2 I2 sw $6,50($1)

sw $6,50($1) I3 If register read/write can be done in one cycle, only 2 s are needed. (Both are correct) lw $1,40($6) I1 add $6,$2,$2 I2 sw $6,50($1) Q1) Exercise 4.13 Instruction sequence a lw $1,40($6) I1 add $6,$2,$2 I2 sw $6,50($1) I3 b lw $5,-16($5) I1 sw $5,-16($5) I2 add $5,$5,$5 I3 4.13.1. Indicate dependences and their type. From Ia to Ib on

More information

ch3.hwp

ch3.hwp 미디어정보처리 (c) -4 한남대 정보통신멀티미디어학부 MCCLab. - -...... (linear filtering). Z k = n i = Σn m Σ j = m M ij I ji 컨볼루션 영역창 I I I I 3 I 4 I 5 I 6 I 7 I 8 x 컨볼루션 마스크 M M M M 3 M 4 M 5 M 6 M 7 M 8 I 입력 영상 Z 4 = 8 k

More information

歯Final-Handout.PDF

歯Final-Handout.PDF In pursuit of Media Excellence How to Maximize Your Return On Media Investment 2003123 35 35 30 25 20 20 15 10 10 5 0 => => GRPs GRPs 02/01-254 352 02/02-180 254 02/03-180 254 02/04-180 254 02/05-187

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

^ Pyo Young

^ Pyo Young / ^ Pyo Young 02-3781-7190 011-898-7190 ypyo@kr.ibm.com NIX Server Trend icroprocessors Big trend being changed in near future Until now, Intel Chips vs. Non-Intel Chips Intel chips for low & mid-range

More information

15강 판소리계 소설 심청전 다음 글을 읽고 물음에 답하시오. [1106월 평가원] 1)심청이 수궁에 머물 적에 옥황상제의 명이니 거행이 오죽 하랴. 2) 사해 용왕이 다 각기 시녀를 보내어 아침저녁으로 문 안하고, 번갈아 당번을 서서 문안하고 호위하며, 금수능라 비

15강 판소리계 소설 심청전 다음 글을 읽고 물음에 답하시오. [1106월 평가원] 1)심청이 수궁에 머물 적에 옥황상제의 명이니 거행이 오죽 하랴. 2) 사해 용왕이 다 각기 시녀를 보내어 아침저녁으로 문 안하고, 번갈아 당번을 서서 문안하고 호위하며, 금수능라 비 14강 역사영웅소설 15강 판소리계 소설 판소리계 소설 : , 등 일반적으로 판소리 사설의 영향을 받아 소설로 정착된 작품을 가리킨 판소리 : , , , , 등이 사설과 창이 전해지고 있 하층민의 예술로 시작하여 전계층을 아우르는 예술이 되었 상류층, 지배층이 향유층이 되면서 점차 작품의 주제가

More information

PowerPoint Presentation

PowerPoint Presentation Chapter 3 컴퓨터구조 하드웨어연결그림 본체 메인보드 입력장치 CPU RAM PS2 랜카드 키보드마우스 ALU 캐쉬메모리 레지스터 시리얼포트패러렐포트 PCI 사운드카드 스캐너마이크웹캠 DMA BIOS EIDE 버스 SATA PCI express AGP USB 그래픽카드 GPU HDMI 출력장치 스피커 헤드폰 파워서플라이 모니터 FDD HDD ODD SSD

More information

J2EE & Web Services iSeminar

J2EE & Web Services iSeminar 9iAS :, 2002 8 21 OC4J Oracle J2EE (ECperf) JDeveloper : OLTP : Oracle : SMS (Short Message Service) Collaboration Suite Platform Email Developer Suite Portal Java BI XML Forms Reports Collaboration Suite

More information

() Aloha Netowrk ether(,, )network Ehternet, DEC, ( DIX(DEC, Intel, Xerox) IEEE(, ) 5 9,, (Xerox) (Bob Metcalfe), (, ) A

() Aloha Netowrk ether(,, )network Ehternet, DEC, ( DIX(DEC, Intel, Xerox) IEEE(,   ) 5 9,, (Xerox) (Bob Metcalfe), (, ) A 1 2 3 4 1960 1973 () Aloha Netowrk ether(,, )network Ehternet, DEC, ( DIX(DEC, Intel, Xerox) IEEE(, http://wwwieeeorg/, ) 5 9,, (Xerox) (Bob Metcalfe), (, ) Aloha Network 1960 / IEEE CSMA/CD IEEE () 30

More information

그림 2. 최근 출시된 스마트폰의 최대 확장 가능한 내장 및 외장 메모리 용량 원한다. 예전의 피쳐폰에 비해 대용량 메모리를 채택하고 있지 만, 아직 데스크톱 컴퓨터 에 비하면 턱없이 부족한 용량이다. 또한, 대용량 외장 메모리는 그 비용이 비싼 편이다. 그러므로 기존

그림 2. 최근 출시된 스마트폰의 최대 확장 가능한 내장 및 외장 메모리 용량 원한다. 예전의 피쳐폰에 비해 대용량 메모리를 채택하고 있지 만, 아직 데스크톱 컴퓨터 에 비하면 턱없이 부족한 용량이다. 또한, 대용량 외장 메모리는 그 비용이 비싼 편이다. 그러므로 기존 스마트폰을 위한 A/V 신호처리기술 편집위원 : 김홍국 (광주과학기술원) 스마트폰을 위한 동영상 압축 기술 호요성, 최정아 광주과학기술원 요 약 스마트폰이 대중화되면서 이동통신 시장은 일대 혁신을 맞이 했다. 최근 출시되는 스마트폰이 크고 선명한 화면과 빠른 프로 세서를 잇따라 탑재하면서 고해상도 및 고품질 영상에 대한 사 용자들의 수요가 급증하고 있다. 하지만

More information

Coriolis.hwp

Coriolis.hwp MCM Series 주요특징 MaxiFlo TM (맥시플로) 코리올리스 (Coriolis) 질량유량계 MCM 시리즈는 최고의 정밀도를 자랑하며 슬러리를 포함한 액체, 혼합 액체등의 질량 유량, 밀도, 온도, 보정된 부피 유량을 측정할 수 있는 질량 유량계 이다. 단일 액체 또는 2가지 혼합액체를 측정할 수 있으며, 강한 노이즈 에도 견디는 면역성, 높은 정밀도,

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

ARM01

ARM01 0 1 Chapter 1.1 1.2 1.3 1.4 1.5 ARM System Developer s guide 32, ARM., ARM,,,. ARM 1985, ARM1, 2001 20 ARM. ARM,., ARM,., ARM ARM7TDMI, 120 Dhrystone MIPS 1),. ARM7TDMI. ARM, RISC(Reduced Instruction Set

More information

Oracle9i Real Application Clusters

Oracle9i Real Application Clusters Senior Sales Consultant Oracle Corporation Oracle9i Real Application Clusters Agenda? ? (interconnect) (clusterware) Oracle9i Real Application Clusters computing is a breakthrough technology. The ability

More information