삼성기초VHDL실습.PDF

Size: px
Start display at page:

Download "삼성기초VHDL실습.PDF"

Transcription

1 VHDL Simulation Synthesis - Synopsys Tool - System ASIC Design Lab : jcho@asiclabinchonackr -I - : -Bit Full Adder Simulation Synopsys Simulation Simulation Tool -2 : -Bit Full Adder Synthesis Synopsys Synthesis Synthesis Tool -3 : -Bit Serial Adder Synopsys Tool -4 : 3-tap FIR Filter -5 : Counter 7-Segment Display -II -6 : Traffic Light Controller Digital System RTL VHDL Synopsys Tool Ma+PlusII FPGA SynopsysVHDL NO-2

2 Simulation Synopsys VSS Simulator Built-in Library (standard, IEEE, synopsys, ASIC vendor) VHDL Source code (#vi eamvhd) Compile (#vhdlan eamvhd) eamsim eammra Working Library startup files synopsys_vsssetup Simulation (#vhdldb) VHDL compile : $ vhdlan {vhdl_source_file_name} Built-in Library standard, IEEE, synopsys, ASIC vendor, your own Working Library VHDL code compile (*sim, *mra) Simulation : $ vhdldb setup file synopsys_vsssetup SynopsysVHDL NO-3 VSS Simulator () synopsys _vsssetup file Working_Library_Name Working_Library_Directory User Working_Library_Name : Compile Symbolic Name Working_Library_Directory : Working Library Directory Path TIMEBASE WAVEFORM WORK Working_Library_Name = NS = waves > Working_Library_Name : Working_Library_Directory EDITCMD = term -geom 926 -T Synopsys-Editor -e vi User Home Directory sim_work directory Uni $ mkdir ~/sim _work synopsys _vsssetup file TIMEBASE = NS WAVEFORM = waves WORK > sim_work sim_work : ~/sim_work EDITCMD = term -geom 926 -T Synopsys-Editor -e vi SynopsysVHDL NO-4 2

3 VSS Simulator (2) UNIX vi editor ~/synopsys_vsssetup file Simulation Default : TIMEBASE Simulation Default : WAVEFORM Compile : WORK SynopsysVHDL NO-5 - : Full Adder Block VHDL Simulation Stimulus File Test Bench VHDL Simulation test bench for Full adder (tb_favhd) A C_out stimulus vectors (vecvhd) B C_in full adder (favhd) Sum SynopsysVHDL NO-6 3

4 - : Full Adder Data flow VHDL Data Flow library IEEE; use IEEEStd_logic_64all; entity fa is port ( A : in std_logic; B : in std_logic; C_in : in std_logic; C_out: out std_logic; Sum : out std_logic); end fa; architecture rtl of fa is signal S : std_logic; begin S <= A or B; Sum <= S or C_in; C_out <= (A and B) or (S and C_in); end rtl; SynopsysVHDL NO-7 - : Stimulus Vector VHDL Stimulus Vector library IEEE; use IEEEStd_logic_64all; entity vec is port (A, B, C_in : out std_logic); end vec ; -- architecture rtl of vec is begin process begin A <= ; B <= ; C_in <= ; wait for ns; A <= ; B <= ; C_in <= ; wait for ns; A <= ; B <= ; C_in <= ; wait for ns; A <= ; B <= ; C_in <= ; wait for ns; A <= ; B <= ; C_in <= ; wait for ns; A <= ; B <= ; C_in <= ; wait for ns; A <= ; B <= ; C_in <= ; wait for ns; A <= ; B <= ; C_in <= ; wait for ns; end process; end rtl; SynopsysVHDL NO-8 4

5 - : Full Adder Test Bench VHDL Test Bench VHDL library IEEE;use IEEEstd_logic_64all; entity tb_fa is end tb_fa; -- architecture rtl of tb_fa is component fa port ( A,B,C_in : in std_logic; C_out,Sum: out std_logic); end component; component vec port ( A,B,C_in : out std_logic); end component; -- Signal Assign signal A, B, C_in : std_logic; signal C_out, Sum : std_logic; for U : fa use entity workfa(rtl); for U2 : vec use entity workvec (rtl); begin -- U, U2 Component Label U : fa port map ( A=> A,B=> B,C_in => C_in, C_out=> C_out,Sum=> Sum); U2 : vec port map ( A=> A,B=> B,C_in=> C_in); end rtl; SynopsysVHDL NO-9 - : VHDL Code Compile Code Compile Design Tree VHDL Code Compile tb_favhd favhd vecvhd Uni Command $ vhdlan favhd $ vhdlan vecvhd $ vhdlan tb_favhd Compile Window SynopsysVHDL NO- 5

6 - : Compile File Compile Error ~/sim _work Directory SynopsysVHDL NO- - : Test Bench Compile Loading Simulation Engine Test Bench VHDL Loading $ vhdldb & Window Loading Window Working Library Design Simulation Simulation Configuration Entity_Architecture_n ame ( configuration) SynopsysVHDL NO-2 6

7 - : Test Bench Compile Loading Loading Window VHDL Top Model Source code typing Command line SynopsysVHDL NO-3 - : VHDL Debugger Command Command () trace * source code cd label : cd U sub-block trace signal_name : trace s cd block SynopsysVHDL NO-4 7

8 - : Simulation Engine Command (2) run Simulation Engine ns Simulation waveform scale >tool-bar menu View sub-menu SynopsysVHDL NO-5 - : Simulation Waveform Full Fit ( ) Zoom In ( ) Zoom Out ( ) Zoom Fit ( ) SynopsysVHDL NO-6 8

9 -2 : VHDL (Logic Synthesis)? Synthesis = Translation + Optimization + Mapping Translate into Boolean Representation HDL Source code Optimize + Map Synthesis Generic Boolean (GTECH) Target Technology SynopsysVHDL NO-7 Synopsys Synthesis Tool File Design Compiler Setup File : synopsys _dcsetup Setup File Setup File : Synopsys Tool Default Setup File (account) : Directory : Directory Design Model ~user $SYNOPSYS/admin/setup synopsys_dcsetup synopsys_dcsetup specific design synopsys_dcsetup User's General Setup User's Specific Project (design) Setup Standard setup SynopsysVHDL NO-8 9

10 Synopsys Synthesis Tool synopsys _dcsetup File : Target Library ALTERA FLEXK Target Library lsi_k SynopsysVHDL NO-9-2 : Design Analyzer Window Design Analysis Tool Loading Uni Command $ design_analyzer & Window Command menus View Select Up/Down Hierarchy Informational Message Appear Here Current View SynopsysVHDL NO-2

11 -2 : Check Design Compiler Setup Design Analysis Command Menu Setup Defaults >Setup > Defaults Window Call synopsys_dcsetup File Loading Link Library Target Library Symbol Library SynopsysVHDL NO-2-2 : Technology Library Link Library Optimization Design Compiler cells wireloads, technology library Link Library HDL Code Instantiated : adders, NORs, assorted gates Gate Level Netlist input Design Compiler Target Library Synthesis design compiler mapping technology library Design Compiler output Optimized Netlist Target Design mapped to gates from the Target Library Library link library target library technology library SynopsysVHDL NO-22

12 -2 : Design Compiler File () Design Analysis Command Menu File Read >File > Read Window File Name File Format, OK Reading Design File Supports many different formats Format db edif equation lsi mif pla st tdl verilog vhdl nf Description Synopsys Internal Format Electronics Design Interchange format Synopsys Equation Format LSI Logic Corporation Format Mentor Intermediate Format Berkeley (Epresso) PLA Format Synopsys State Table Format Tegas Design Language Format Cadence Design Systems Inc HDL IEEE Standard VHDL Xilin Netlist Format SynopsysVHDL NO-23-2 : Design Compiler File (2) File Read Window Error Window VHDL Code Symbol SynopsysVHDL NO-24 2

13 -2 : HDL Files Translate File Read Analyze Elaborate Design Analysis Command Menu >File > Analyze >File > Elaborate SynopsysVHDL NO-25-2 : HDL Files Translate Translate Symbol Window Symbol Symbol Double Click Target Library, SynopsysVHDL NO-26 3

14 -2 : Optimization Constraints Optimization Design Block Optimization Constraints, MaArea >Attributes > Optimization Area Constraints > Design Constraints Vendor Target Window Technology Library eg : 2-input-NAND-gate, inverters, transistors, or square mils, etc Design Rule Constraints Ma Fanout typing Optimization Fanout SynopsysVHDL NO-27-2 : Optimization Optimization Design Block, >Tools > Design Optimization Design Optimization Window OK Optimization Window SynopsysVHDL NO-28 4

15 -2 : Optimization Symbol Target Library Symbol Double Click VHDL Modeling Behavioral Modeling : Structural Modeling : Component Symbol SynopsysVHDL NO-29-2 : Optimization Report () Cell, Delay Critical Path Command Menu >Analysis>Report Window SynopsysVHDL NO-3 5

16 -2 : Optimization Report (2) Area Report File Delay Report File Window Area Window Timing SynopsysVHDL NO-3-2 : Optimization Critical Path Path Timing Delay Path Command Menu >Analysis>Highlight>Critical Path Window Path Critical Path SynopsysVHDL NO-32 6

17 -2 : Synthesis ALTERA Library edif file file Recommendation : Always use the save ALL Designs in Hierarchy option SynopsysVHDL NO-33-3 : Full Adder N-Bit VHDL carry -Bit Flip-Flop Bit Serial Adder (bit_addvhd) a b a b c_in sum Full Adder (favhd) c_out sum carry_in D F/F (regvhd) carry_out reset clock SynopsysVHDL NO-34 7

18 -3 : VHDL -Bit Flip-Flop VHDL library IEEE;use IEEEstd_logic_64all; entity reg is port ( reset, clock: in std_logic; d_in : in std_logic; d_out : out std_logic); end reg; architecture rtl of reg is begin process ( reset, clock, d_in ) begin if reset = '' then d_out <= ''; elsif clock = '' and clock'event then d_out <= d_in; end if; end process; end rtl; VHDL library IEEE; use IEEEstd_logic_64all; entity bit_add is port ( a, b, reset, clock : in std_logic; sum : out std_logic); end bit_add; architecture rtl of bit_add is component fa port ( a, b, c_in : in std_logic; sum, c_out : out std_logic); end component; component reg port ( reset, clock, d_in : in std_logic; d_out : out std_logic); end component; for u : fause entity workfa(rtla); for u : reg use entity workreg(rtl); signal carry_in, carry_out : std_logic; begin u :faport map (a, b, carry_in, sum, carry_out); u : reg port map (reset, clock, carry_out, carry_in); end rtl; SynopsysVHDL NO-35-3 : File Read 3 VHDL Code File Read VHDL Code File Read >File>Read>favhd >File>Read>regvhd >File>Read>bit_addvhd bit_addvhd favhd regvhd SynopsysVHDL NO-36 8

19 -3 : Clock Clock attributes Design Analyzer clock Design Analysis Command Menu Attributes Clock, Specify >Attributes > Clock > Specify SynopsysVHDL NO-37-3 : Design Constraint Optimization Optimization Design Rule symbol >Attributes > Optimization Constraints > Design Constraints typing SynopsysVHDL NO-38 9

20 -3 : Optimization Optimization Design Block, >Tools > Design Optimization Design Optimization Window OK Optimization Window Cell Delay Report File SynopsysVHDL NO-39-3 : -Level ( ) -Level, >Edit > Ungroup -Level SynopsysVHDL NO-4 2

21 -4 : 3-Tap FIR Filter RTL 3-Tap FIR Filter RTL VHDL, Simulation Synthesis fir_in Reg Reg sequential Logic 8 clock reset "" "" "" ADDER combinational Logic fir_out SynopsysVHDL NO-4-4 : 3-Tap FIR Filter VHDL library IEEE; use IEEEstd_logic_64all; use IEEEstd_logic_signedall; entity fir is port ( fir_in : in std_logic_vector(7 downto ); clock : in std_logic; reset : in std_logic; fir_out : out std_logic_vector(9 downto )); end fir; -- architecture rtl of fir is signal t_, t_2 : std_logic_vector(7 downto ); -- filter constant a : std_logic_vector(4 downto ) := ""; constant a2 : std_logic_vector(4 downto ) := ""; constant a3 : std_logic_vector(4 downto ) := ""; begin --filter register process(clock,reset) begin if reset = '' then t_ <= (others => ''); t_2 <= (others => ''); elsif clock'event and clock = '' then t_ <= fir_in; t_2 <= t_; end if; end process; --filter process(fir_in, t_, t_2) variable b_, b_, b_2, tmp : std_logic_vector(2 downto ); begin b_ := a * fir_in; b_ := a2 * t_; b_2 := a3 * t_2; tmp := b_ + b_ + b_2; fir_out <= tmp(2 downto 3); end process; end rtl; SynopsysVHDL NO-42 2

22 -4 : FIR Filter Test Bench VHDL library IEEE; use IEEEstd_logic_64all; entity tb_fir is end tb_fir; -- architecture rtl of tb_fir is -- component fir port ( fir_in : in std_logic_vector(7 downto ); clock : in std_logic; reset : in std_logic; fir_out : out std_logic_vector(9 downto )); end component; -- for u: fir use entity workfir(rtl); -- signal fir_in : std_logic_vector(7 downto ); signal clock, reset : std_logic := ''; signal fir_out : std_logic_vector(9 downto ); -- begin u: fir port map ( fir_in, clock, reset, fir_out); clock <= not clock after 2 ns; -- reset <= '' after ns; -- process begin fir_in <= "", "" after ns, "" after 2 ns, "" after 3 ns, "" after 4 ns, "" after 5 ns, "" after 6 ns, "" after 7 ns; wait for 8 ns; end process; end rtl; -- configuration conf of tb_fir is for rtl end for; end conf; SynopsysVHDL NO-43-4 : Synopsys Simulator VHDL Waveform SynopsysVHDL NO-44 22

23 -4 : Synopsys Synthesis Tool, Report File SynopsysVHDL NO-45-5 : Synthesis Tool Counter Simulation Tool RESE T CLK CNT_OU T(n) CNT_OUT(n+) X X Counter? Counter? CNT_OUT(n) + Seven-Segment Segment CNT_OUT SEG_OUT "" COUNTER "" "" "" f "" e a 7-SEG "" g Driver "" d b c "" "" " SynopsysVHDL NO-46 23

24 -5 : Behavioral Level VHDL Counter VHDL library IEEE; use IEEEStd_logic_64all; use IEEEStd_logic_unsigned"+"; entity Counter is port ( RESET : in std_logic; Clk : in std_logic; CNT_out : out std_logic_vector(3 downto )); end Counter; architecture Counter_Arch of Counter is signal CNT : std_logic_vector(3 downto ); begin process(reset, CLK) begin if RESET = '' then -- same epression of CNT <= ""; CNT <= (others => ''); elsif Clk'event and Clk = '' then if CNT = "" then CNT <= (others => ''); else CNT <= CNT + ''; end if; end if; end process; CNT_out <= CNT; end Counter_Arch; Segment VHDL library IEEE; use IEEEStd_logic_64all; entity Segment is port ( Seg_IN : in std_logic_vector(3downto ); Seg_OUT : out std_logic_vector(6downto )); end Segment; architecture Segment_Arch of Segment is begin process(seg_in) begin case Seg_IN is when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when "" => Seg_OUT <= ""; when others => Seg_OUT <= ""; end case; end process; end Segment_Arch; SynopsysVHDL NO-47-5 : Structural Level Test Bench VHDL CNT_SEG VHDL library ieee; use ieeestd_logic_64all; entity CNT_SEG is port ( RESET : in std_logic; Clk : in std_logic; Seg_out : out std_logic_vector(6 downto)); end CNT_SEG; architecture CNT_SEG_Arch of CNT_SEG is component Counter port ( RESET : in std_logic; Clk : in std_logic; CNT_out : out std_logic_vector(3 downto)); end component; component Segment port ( Seg_IN : in std_logic_vector(3 downto); Seg_OUT : out std_logic_vector(6 downto)); end component; signal CNT_out : std_logic_vector(3 downto); for CNT : Counter use entity workcounter(counter_arch); for Seg : Segment use entity worksegment(segment_arch); begin CNT : Counter port map (RESET=> RESET,Clk=> Clk,CNT_out=> CNT_out); Seg : Segment port map (Seg_IN=> CNT_out,Seg_OUT=> Seg_OUT); end CNT_SEG_Arch; configuration CNT_SEG_C of CNT_SEG is for CNT_SEG_Arch end for; end CNT_SEG_C; Test-Bench VHDL library IEEE; use IEEEStd_logic_64all; entity TB_CNT_SEG is end TB_CNT_SEG; -- architecture TB_CNT_SEG_Arch of TB_CNT_SEG is component CNT_SEG port ( RESET : in std_logic; Clk : in std_logic; Seg_out : out std_logic_vector(6 downto )); end component; signal RESET : std_logic := '' ; signal Clk: std_logic := '' ; signal Seg_out : std_logic_vector(6 downto ); begin RESET <= '' after 5 ns; Clk <= not Clk after ns; Counter : CNT_SEG port map ( RESET => RESET, Clk => Clk, Seg_out => Seg_out); end TB_CNT_SEG_Arch; -- configuration TB_CNT_SEG_C of TB_CNT_SEG is for TB_CNT_SEG_Arch end for; end TB_CNT_SEG_C; SynopsysVHDL NO-48 24

25 -5 : VSS Simulator VHDL Source Code Analysis $ vhdlan Countervhd $ vhdlan Segmentvhd $ vhdlan CNT_SEGvhd $ vhdlan TB_CNT_SEGvhd File_Namescr, Script File ( : Compscr) Debugging Tool Loading Simulation Library Design Model $ vhdldb & Design Model SIM_WORKTB_CNT_SEG_C Waveform Waveform trace * trace /TB_CNT_SEG/Counter/CNT_out run 3 Script File File_Namescr Command include Compscr Waveform SynopsysVHDL NO-49-5 : Design Analyzer Window Design Analysis Tool Loading $ design_analyzer & Window Call SynopsysVHDL NO-5 25

26 -5 : VHDL File Read VHDL Source File Read Window File -> Read Window Call Window Bottom -Up, VHDL Code Read Countervhd Segmentvhd CNT_SEGvhd CNT_SEG Conter Segment SynopsysVHDL NO-5 Clock CNT_SEG Double -5 : Clock 2 Clk 3 Attribute -> clock -> Specify Apply SynopsysVHDL NO-52 26

27 -5 : Design Constraint Optimization Design Rule symbol Attributes -> Optimization Constraints ->Design Constraints SynopsysVHDL NO-53-5 :, Block CNT_SEG Level Component Unit Double Click SynopsysVHDL NO-54 27

28 -5 : Physical Design EDIF File EDIF File File -> Save as File Format EDIF File Name CNT_SEGedf SynopsysVHDL NO-55-5 : FPGA Compiler Physical Design MAX+PLUSII $ maplus2& File -> Project -> Name CNT_SEGedf MAX+PLUSII -> Compile Interface -> Edif Netlist Reader Settings Synopsys Interface -> VHDL Netlist Writer VHDL File Project Namevho Timing Assign -> Device Family FLEX8 Devices EPF8282LC84-3 Compiler Window Start SynopsysVHDL NO-56 28

29 -5 : Timing Simulation VHDL Source Code Function Simulation Waveform FPGA Compiler Timing VHDL File Timing Simulation Waveform SynopsysVHDL NO-57-6 : Traffic Light Controller Word Description Highway Farm road Farm Road Car Highway Green TL Car Highway Yellow Mode, Highway Yellow Mode TS, Highway Red Mode Farm Road Green Mode TL Car Farm Road Yellow Mode, Car TL Farm Road Yellow Mode Farm Road Yellow Mode TS Highway Green Mode TL (6 CLK)*(CLK 3 ), TS ( CLK)*(CLK 3 ) Farmroad FL C HL Highway Highway C FL SynopsysVHDL NO-58 29

30 -6 : Traffic Light Controller Block Block Diagram Module : Modular Design I/O Pin SynopsysVHDL NO-59-6 : Traffic Light Controller Car Sensor Short Time Long Time Car-Async 4- Counter CLK /Reset Car_Async CLK Car_Sync /Reset Highway Green ST count count Highway Green "" 2 ST "" 4 "" "" "" count +'' Car_Async D Q Car_Sync 4 4 "" Clk 4 D Q 4 CLK count = "" + 4 /Reset /Reset count "" "" TL TS SynopsysVHDL NO-6 3

31 -6 : Traffic Light Controller Light Decoders Traffic Light State Controller 4 4 High-Way Farm-Road State Table State Diagram 4 Current Net ST Reset TS TL C State State (Net state) Decoder H(F) H(F) 2 HG(FG) HY(FY) HR(FR) Not Allowed Light Decoder 3 3 Light Green Yellow Red HG HY HR FG FY FR TS TL C/ST S S S S S S S2 S2 S2 S3 S3 S TL+C Reset TS/ST S S S S S S2 S2 S3 S3 S3 S S S3 TS/ST TL+C/ST TS S2 TL C SynopsysVHDL NO-6-6 : Traffic Light Controller VHDL VHDL library IEEE; use IEEEstd_logic_64ALL; use IEEEstd_logic_unsigned"+"; entity TRAFFIC is port (RESET, CLK, C_ASYNC : in std_logic; HG, HY, HR, FG, FY, FR : out std_logic); end TRAFFIC; architecture RTL of TRAFFIC is type STATE is (S, S, S2, S3); type LIGHT is (GREEN, YELLOW, RED); signal C_STATE, N_STATE : STATE; signal H, F : LIGHT; signal C_SYNC, ST, TS, TL : std_logic; signal COUNT:std_logic_vector(3 downto ); begin A: block begin process (RESET, CLK) begin if RESET = '' then C <= ''; elsif CLK = '' and CLK'event then C <= C_ASYNC; end if; end process; --Timer counter -- HG count process (RESET, CLK) begin if RESET= then COUNT <= ; elsif CLK = '' and CLK'event then if ST = '' then COUNT <= ""; elsif C_STATE=S and COUNT= then COUNT <= COUNT; --NULL else COUNT <= COUNT + ''; end if; end if; end process; --Long time, short time TS<='' when COUNT="" else ''; TL<='' when COUNT="" else ''; --High-way ON/OFF HG<='' when H=GREEN else ''; HY<='' when H=YELLOW else ''; HR<='' when H=RED else ''; SynopsysVHDL NO-62 3

32 -6 : Traffic Light Controller VHDL --Farm road ON/OFF FG<='' when F=GREEN else ''; FY<='' when F=YELLOW else ''; FR<='' when F=RED else ''; end block; -- B: block begin process (RESET, CLK) begin if RESET = '' then C_STATE <= S; elsif CLK = '' and CLK'event then C_STATE <= N_STATE; end if; end process; -- timer process (C_STATE, TS, TL, C_SYNC) begin case C_STATE is when S => H <= GREEN; F <= RED; if (TL = '') and (C_SYNC = '') then N_STATE <= S; ST <= ''; else N_STATE <= S; ST <= ''; end if; when S => H <= YELLOW; F <= RED; if TS = '' then N_STATE <= S2; ST <= ''; else N_STATE <= S; ST <= ''; end if; when S2 => H <= RED; F <= GREEN; if (TL = '') or (C_SYNC = '') then N_STATE <= S3; ST <= ''; else N_STATE <= S2; ST <= ''; end if; when S3 => H <= RED; F <= YELLOW; if TS = '' then N_STATE <= S; ST <= ''; else N_STATE <= S3; ST <= ''; end if; end case; end process; end block; end RTL; SynopsysVHDL NO-63-6 : Traffic Light Controller Test Bench Simulation Test Bench Stimulus Vector Traffic Light Controller library IEEE; use IEEEstd_logic_64ALL; --Empty entity entity TB_TRAFFIC is end TB_TRAFFIC; architecture RTL of TB_TRAFFIC is --Test component component TRAFFIC port (RESET,CLK,C_AYNC : in std_logic; HG,HY,HR,FG,FY,FR : out std_logic); end component; -- signal RESET : std_logic; signal CLK : std_logic := ''; signal C_ASYNC : std_logic; signal HG,HY,HR,FG,FY,FR : std_logic; --component configuration for U : TRAFFIC use entity worktraffic(rtl); begin -- vector CLK <= not CLK after 5 sec; -- vector RESET <= '', '' after sec; --Random vector process begin C_ASYNC <= ''; wait for min; C_ASYNC <= ''; wait for 5 min; C_ASYNC <= ''; wait for 5 min; C_ASYNC <= ''; wait for 5 min; end process; -- Pin Wire U : TRAFFIC port map (RESET, CLK, C_ASYNC, HG, HY, HR, FG, FY, FR); end RTL; SynopsysVHDL NO-64 32

33 -6 : Traffic Light Controller VHDL Test Bench Synopsys Simulation SynopsysVHDL NO-65-6 : Traffic Light Controller Script File read -f vhdl trafficvhd group -hdl_block A group -hdl_block B create_clockclk ma_delay -to all_outputs() compile -map_effort high create_schematic write -f db write -f edif -hierarchy -o trafficedf 2 Sub-Block Block Label VHDL Block Label SynopsysVHDL NO-66 33

34 -6 : Traffic Light Controller -Level -Level Script File read -f vhdl trafficvhd create_clockclk ma_delay -to all_outputs() compile -map_effort high create_schematic write -f db write -f edif -hierarchy -o trafficedf 7 Flip-Flop Random Logic SynopsysVHDL NO-67-6 : Traffic Light Controller VHDL FPGA Synthesis ( NO-33 ) ALTERA Library FPGA edif SynopsysVHDL NO-68 34

35 -6 : Traffic Light Controller VHDL FPGA directory MAX Sub-directory trafficedf MAX directory MAX directory Ma+plus : ma2win& Project File -> Project -> Name trafficedf SynopsysVHDL NO-69-6 : Traffic Light Controller VHDL FPGA Device Options SynopsysVHDL NO-7 35

36 -6 : Traffic Light Controller VHDL FPGA Compiler Ma+plus II -> Compiler Processing -> Preserve All Node Name Synonyms ( bit Node Name ) Interfaces -> Edif Netlist Reader Settings Interfaces -> VHDL Netlist Writer Settings Interfaces -> VHDL Netlist Writer ( Gate Delay Timing Simulation vho ) SynopsysVHDL NO-7-6 : Traffic Light Controller VHDL FPGA Start Compiler error error rpt compile SynopsysVHDL NO-72 36

37 -6 : Traffic Light Controller VHDL FPGA Pin Re-Fitting rpt, compile FPGA, Ma+plus II -> Compiler, Assign -> Back-Annotate Project Hierarchy Display -> acf FPGA Chip, acf In, Out port SynopsysVHDL NO-73-6 : Traffic Light Controller VHDL FPGA, C_ASYNC : INPUT_PIN = 73 CLK : INPUT_PIN = 2; RESET : INPUT_PIN = 3; FG : OUTPUT_PIN = 3; FR : OUTPUT_PIN = 56; FY : OUTPUT_PIN = 25; HG : OUTPUT_PIN = 24; HR : OUTPUT_PIN = 28; HY : OUTPUT_PIN = 6; compiler, Ma+plus II MAX directory traffiche 27C256 ROM Download FPGA 256ROM FPGA C_ASYNC High Way Farm Road SynopsysVHDL NO-74 37

38 -6 : FPGA Prototyping Traffic Light Controller FPGA Board VCC VCC 33 Car Detect farmway highway PUSH SW VCC PUSH SW D? uf VCC R? DATA DATA DATA2 DATA3 DATA4 DATA5 DATA6 DATA7 RESET nconfig nsp MSEL MSEL c_async fg fr fy hg hr hy EPF8282ALC84-2 nstatus LED 33 VCC 32 A A A2 A3 A4 A5 A6 A7 A8 A9 A A A2 A3 A4 CONF_DONE K CLK K VCC VCC A A A2 A3 A4 A5 A6 A7 A8 A9 A A A2 A3 A4 CE OE VPP 27C256 VSS 4 VDD VCC 8 O O O2 O3 O4 O5 O6 O VCC R? 2 TR Q 3 K C? DIS 7 uf 5 CV THR 6 R? D? K N C? uf R 4 NE555 SynopsysVHDL NO-75 38

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

1

1 WebPACK ISE5.1i Manual Insight Korea Xilinx FAE Team 2003. 3. 10 WebPACK ISE 5.1i( 이하 WebPACK ) 은 Xilinx FPGA 나 CPLD 를쉽게디자인할수있게 하는 Free Design Software 로서 Design Entry, Synthesis, 그리고 Verification, Simulation

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O Orange for ORACLE V4.0 Installation Guide ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE...1 1....2 1.1...2 1.2...2 1.2.1...2 1.2.2 (Online Upgrade)...11 1.3 ORANGE CONFIGURATION ADMIN...12 1.3.1 Orange Configuration

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드] IC-CAD CAD 실험 Lecture 3 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

UML

UML Introduction to UML Team. 5 2014/03/14 원스타 200611494 김성원 200810047 허태경 200811466 - Index - 1. UML이란? - 3 2. UML Diagram - 4 3. UML 표기법 - 17 4. GRAPPLE에 따른 UML 작성 과정 - 21 5. UML Tool Star UML - 32 6. 참조문헌

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & /

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & / SINUMERIK 840C Service and Uer Manual DATA SAVING & LOADING & & / / NC, RS232C /. NC NC / Computer link () Device ( )/PC / / Print erial Data input RS232C () Data output Data management FLOPPY DRIVE, FLOPPY

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph 인터그래프코리아(주)뉴스레터 통권 제76회 비매품 News Letters Information Systems for the plant Lifecycle Proccess Power & Marine Intergraph 2008 Contents Intergraph 2008 SmartPlant Materials Customer Status 인터그래프(주) 파트너사

More information

초보자를 위한 C++

초보자를 위한 C++ C++. 24,,,,, C++ C++.,..,., ( ). /. ( 4 ) ( ).. C++., C++ C++. C++., 24 C++. C? C++ C C, C++ (Stroustrup) C++, C C++. C. C 24.,. C. C+ +?. X C++.. COBOL COBOL COBOL., C++. Java C# C++, C++. C++. Java C#

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

Smart Power Scope Release Informations.pages

Smart Power Scope Release Informations.pages v2.3.7 (2017.09.07) 1. Galaxy S8 2. SS100, SS200 v2.7.6 (2017.09.07) 1. SS100, SS200 v1.0.7 (2017.09.07) [SHM-SS200 Firmware] 1. UART Command v1.3.9 (2017.09.07) [SHM-SS100 Firmware] 1. UART Command SH모바일

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

제5장 PLD의 이해와 실습

제5장 PLD의 이해와 실습 제 5 장 PLD 의이해와실습 실험의목표 - 프로그래머블논리소자인 PAL 과 PLA, EPROM, CPLD 등에대하여이해한다. - MAX PLUS II를이용하여 CPLD 프로그램하는방법을배운다. - CPLD 굽는법에대하여익힌다. - VHDL 간단한표현과문법에대하여소개를한다. 실험도움자료 1. PLD(Programmable Logic Device) PLD는사용자가필요로하는논리기능을직접

More information

untitled

untitled R&S Power Viewer Plus For NRP Sensor 1.... 3 2....5 3....6 4. R&S NRP...7 -.7 - PC..7 - R&S NRP-Z4...8 - R&S NRP-Z3... 8 5. Rohde & Schwarz 10 6. R&S Power Viewer Plus.. 11 6.1...12 6.2....13 - File Menu...

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

untitled

untitled (shared) (integrated) (stored) (operational) (data) : (DBMS) :, (database) :DBMS File & Database - : - : ( : ) - : - : - :, - DB - - -DBMScatalog meta-data -DBMS -DBMS - -DBMS concurrency control E-R,

More information

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오.

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오. 메 뉴 다음 사항을 꼭 확인하세요! --------------------------------- 2p 안전을 위한 주의 사항 --------------------------------- 3p 구성품 --------------------------------- 4p 각 부분의 명칭 --------------------------------- 5p 제품의 규격

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

ETL_project_best_practice1.ppt

ETL_project_best_practice1.ppt ETL ETL Data,., Data Warehouse DataData Warehouse ETL tool/system: ETL, ETL Process Data Warehouse Platform Database, Access Method Data Source Data Operational Data Near Real-Time Data Modeling Refresh/Replication

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

Microsoft Word - Automap3

Microsoft Word - Automap3 사 용 설 명 서 본 설명서는 뮤직메트로에서 제공합니다. 순 서 소개 -------------------------------------------------------------------------------------------------------------------------------------------- 3 제품 등록 --------------------------------------------------------------------------------------------------------------------------------------

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software 소프트웨어모델링및분석 (Equivalence Checking 소개 ) 김의섭 Dependable Software Laboratory KONKUK University 2016.06.03 Equivalence Checking 이란? Equivalence Checking: 두프로그램이동일한기능을하는지정형적으로검증하는방법 왜 Equivalence Checking 이필요한가?

More information

소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를 제공합니다. 제품은 계속 업데이트되므로, 이 설명서의 이미지 및 텍스트는 사용자가 보유 중인 TeraStation 에 표시 된 이미지 및 텍스트와 약간 다를 수

소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를 제공합니다. 제품은 계속 업데이트되므로, 이 설명서의 이미지 및 텍스트는 사용자가 보유 중인 TeraStation 에 표시 된 이미지 및 텍스트와 약간 다를 수 사용 설명서 TeraStation Pro II TS-HTGL/R5 패키지 내용물: 본체 (TeraStation) 이더넷 케이블 전원 케이블 TeraNavigator 설치 CD 사용 설명서 (이 설명서) 제품 보증서 www.buffalotech.com 소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

untitled

untitled Push... 2 Push... 4 Push... 5 Push... 13 Push... 15 1 FORCS Co., LTD A Leader of Enterprise e-business Solution Push (Daemon ), Push Push Observer. Push., Observer. Session. Thread Thread. Observer ID.

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a [2010 년디지털시스템설계및실험중간고사 1 답안지 ] 출제 : 채수익 Verilog 문법채점기준 ( 따로문제의채점기준에명시되어있지않아도적용되어있음 ) (a) output이 always 문에서사용된경우, reg로선언하지않은경우 (-1 pts) (b) reg, wire를혼동하여사용한경우 (-1 pts) (c) always @( ) 에서모든 input을 sensitivity

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

MAX+plusⅡ를 이용한 설계

MAX+plusⅡ를 이용한 설계 Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT 게이트들로이루어진멀티플렉서기능의논리회로구현멀티플렉서 : 여러개의입력중하나를선택하여출력하는기능모듈입력 s=: 단자 a 의값이단자 z 로출력입력 s=: 단자 b 의값이단자 z 로출력 File name

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper Windows Netra Blade X3-2B( Sun Netra X6270 M3 Blade) : E37790 01 2012 9 Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs,

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

0.1-6

0.1-6 HP-19037 1 EMP400 2 3 POWER EMP400 4 5 6 7 ALARM CN2 8 9 CN3 CN1 10 24V DC CN4 TB1 11 12 Copyright ORIENTAL MOTOR CO., LTD. 2001 2 1 2 3 4 5 1.1...1-2 1.2... 1-2 2.1... 2-2 2.2... 2-4 3.1... 3-2 3.2...

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Introduction to Development and V&V of FPGA-based Digital I&Cs 김의섭 목차 1. FPGA 2. Development Process / V&V 3. Summary 2 01 [ ] FPGA FPGA 프로그램이가능한비메모리반도체의일종. 회로변경이불가능한일반반도체와달리용도에맞게회로를다시새겨넣을수있다. 따라서사용자는자신의용도에맞게반도체의기능을소프트웨어프로그램하듯이변형시킬수있다.

More information

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S TECHNICAL FEATURE Beginner Corner Boundary Scan Design(JTAG) 반도체제조공정을통하여반도체가생성되면불량제품을가려내는테스트과정이필요하다. 0.35um 이하의공정으로수십 ~ 수백만게이트가집적된반도체 VLSI 제품을테스트하는작업이그리간단한일은아니다. 따라서반도체분야에서항상이슈가되는것이바로 TEST 항목인데, 이글을통하여

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

PRO1_16E [읽기 전용]

PRO1_16E [읽기 전용] MPI PG 720 Siemens AG 1999 All rights reserved File: PRO1_16E1 Information and MPI 2 MPI 3 : 4 GD 5 : 6 : 7 GD 8 GD 9 GD 10 GD 11 : 12 : 13 : 14 SFC 60 SFC 61 15 NETPRO 16 SIMATIC 17 S7 18 1 MPI MPI S7-300

More information

BC6DX Korean.ai

BC6DX Korean.ai 제품설명서 BC6DX * 제품의성능개선을위하여예고없이사양이변경될수있습니다. * 무단복제금지 제품의특징 - 운영프로그램 - 이중입력전원회로 - 방전중개별셀전압평균화 - 최대한의안전장치들 - 사이클충전 / 방전 (Cyclic charging/discharging) - USB 를이용한 PC 통신 - 2 - 외부장치들 -, 버튼 - DEC, INC 버튼 - START/

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

Microsoft PowerPoint - 기계공학실험1-1MATLAB_개요2D.pptx

Microsoft PowerPoint - 기계공학실험1-1MATLAB_개요2D.pptx 1. MATLAB 개요와 활용 기계공학실험 I 2013년 2학기 MATLAB 시작하기 이장의내용 MATLAB의여러창(window)들의 특성과 목적 기술 스칼라의 산술연산 및 기본 수학함수의 사용. 스칼라 변수들(할당 연산자)의 정의 및 변수들의 사용 방법 스크립트(script) 파일에 대한 소개와 간단한 MATLAB 프로그램의 작성, 저장 및 실행 MATLAB의특징

More information

Microsoft Word - SRA-Series Manual.doc

Microsoft Word - SRA-Series Manual.doc 사 용 설 명 서 SRA Series Professional Power Amplifier MODEL No : SRA-500, SRA-900, SRA-1300 차 례 차 례 ---------------------------------------------------------------------- 2 안전지침 / 주의사항 -----------------------------------------------------------

More information

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드] VHDL 프로그래밍 1. 문법기초 - 간단한조합회로및문법 학습목표 VHDL 기술과소프트웨어와차이파악 Signal assignment 의의미파악 Architecture body 의개념파악 Entity declaration 의개념파악 Process 문의사용법 Variable 과 signal 의차이파악 Library, Use, Package 의사용법 2/53 간단한논리회로예제

More information

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc Modelsim 과 Quartus II 를이용한설계방법 퀀텀베이스연구개발실, 경기도부천시원미구상동 546-2, 두성프라자 1-606 TEL: 032-321-0195, FAX: 032-321-0197, Web site: www.quantumbase.com 최근 Modelsim은 PC에포팅되어있는것에힘입어많은설계자들이사용하고있습니다이에 Modelsim을이용하여설계하고,

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

00 SPH-V6900_....

00 SPH-V6900_.... SPH-V6900 사용설명서 사용전에 안전을 위한 경고 및 주의사항을 반드시 읽고 바르게 사용해 주세요. 사용설명서의 화면과 그림은 실물과 다를 수 있습니다. 사용설명서의 내용은 휴대전화의 소프트웨어 버전 또는 KTF 사업자의 사정에 따라 다를 수 있으며, 사용자에게 통보없이 일부 변경될 수 있습니다. 휴대전화의 소프트웨어는 사용자가 최신 버전으로 업그레이드

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

보고서(겉표지).PDF

보고서(겉표지).PDF 11-13 10148-000092- 01 200 1 04 ( ) 2 0 0 1 ( ) E fficient Rules for Oper atin g the Det en tion B asin an d P umpin g St ation ( ) 2 0 0 1. 12 1 2 11-1310148- 000092-01 ( ) E fficien t Ru les for Oper

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 1......... 2 3..\ 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 " RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 ........................... ½ ¼ ½ ¾ ................................................ ...........................................................................

More information

tut_modelsim(student).hwp

tut_modelsim(student).hwp ModelSim 사용법 1. ModelSim-Altera 를이용한 Function/RTL 시뮬레이션 1.1. 테스트벤치를사용하지않는명령어기반시뮬레이션 1.1.1. 시뮬레이션을위한하드웨어 A B S C 그림 1. 반가산기 1.1.2. 작업디렉토리 - File - Change Directory 를클릭하여작업디렉토리지정. 1.1.3. 소스파일작성 - 모델심편집기나기타편집기가능

More information