졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

Size: px
Start display at page:

Download "졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽"

Transcription

1 졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

2 목차 1. 선정배경및목적 2. 관련기술및기술동향 3. 프로젝트세부사항 A. 시스템구성도 B. 시나리오 C. 기대효과 D. 개발환경 4. 스케줄 5. 팀구성및역할 6. 참고문헌

3 1. 선정배경및목적 A. 선정배경원자력발전소의디지털제어시스템에서공통원인고장 (Common Cause Failure) 의발생가능성이증가함에따라이를방지하기위해기존의 PLC (Programmable Logic Controller) 대신 FPGA (Field Programmable Gate Array) 기반안전등급다양성제어기가개발되어원자력연구소에서활용되고있다. 하지만 FPGA 기반의제어기를구현하기위해서는 HDL (Hardware Description Language) 을사용한제어로직구현이필수적이지만기존 PLC 소프트웨어개발자에게친숙하지않다. 따라서, 제어로직구현을 FBD (Function Block Diagram) 를활용하여작성할수있도록하기위한통합개발환경의개발이진행중이다. 통합개발환경은그림 1 과같이 FBD을이용하여사용자가제어프로그램을개발할수있도록지원하고, 사용자에의해작성된제어프로그램을자동으로하드웨어기술언어인 VHDL 또는 Verilog로변환하여주는컴파일러, 변환된프로그램을제어기의연산모듈로다운로드되어실행되도록상용소프트웨어와연결시키는 Linker로구성되어있다. 통합개발환경과상용소프트웨어는결과적으로 FBD, VHDL 또는 Verilog, EDIF 그리고 JEDEC 포맷의파일을결과물로출력된다. 하지만하나의 FBD에서변환된각각의파일들이같은동작을수행하는지알수없기때문에각파일을동일한시나리오로시뮬레이션한후시뮬레이션결과를비교하여같은동작을수행하는지확인할필요가있다고생각하였다. 그림 1 통합개발환경의구성

4 B. 목적 FBD에서시작하여 Verilog HDL와 EDIF를거쳐 JEDEC의파일로변환하는소프트웨어는이미개발되어있다. 하나의 FBD를 FBDtoVerilog통해변환과정을수행하면 Verilog HDL를얻을수있으며, 이후상용프로그램인 Libero SoC 를이용하면 Verilog HDL은 EDIF로, EDIF는 JEDEC 형태의파일로변환된다. 시뮬레이션의경우도변환과마찬가지로모두프로그램이개발이되어있는데 FBD의시뮬레이션은 FBDSimulator를통해서수행되며, Verilog HDL과 EDIF, JEDEC의시뮬레이션은 Libero SoC에포함된 ModelSim을통해서수행된다. 시뮬레이션을수행하기위해서는같은시나리오를생성해야하는데, 이또 한개발되어있는프로그램인 Scenario Generator 를이용하여무한한수의 시나리오를생성하는것이가능하다. 같은시나리오를통해시뮬레이션을수행하고, 출력되는결과물은그림 2 와같은형태로여러정보를포함하고있다. 시뮬레이션을통해나오는 4개의결과물들을비교하여같은동작을수행하는지확인하여변환이제대로이루어졌는지검증하고, 생성된시나리오의 Coverage를측정하여적절한시나리오를이용하여시뮬레이션을하였는지확인하는시스템을구현하고자한다.

5 그림 2 시뮬레이션결과물

6 2. 관련연구 A. FBD (Function Block Diagram) FBD는 PLC ( 하드웨어입출력장치를실시간으로제어하기위한제어시스템 ) 의개발을위해 IEC 표준에정의된 5가지언어중하나이다. 시스템의행위를입력과출력사이의블록 (Block) 과블록사이의연결을통해표현하는그래픽기반의언어로서, 전자회로다이어그램 (Electrical Circuit Diagram) 과유사한형태의그래픽컬한다이어그램이다. 그래픽컬한다이어그램은데이터의흐름을쉽게나타낼수있다는장점이있어 PLC를프로그래밍하는데널리사용되는언어이다. B. Verilog HDL Verilog는 FPGA를개발하기위한 HDL 언어중에하나로서, 회로설계및검증, 구현등다양한용도로사용되고있다. 다양한검증및분석도구들이 Verilog를입력언어로지원하고있고, 대부분의대중적인논리합성도구들이 Verilog를지원하고있기때문에널리사용되고있는언어중하나이다. 또한다양한 Verilog와 EDA (Electronic Design Automation) 도구들이게이트수준의합성과정을지원해주기때문에, Verilog를이용한개발은 FPGA 디바이스또는반도체칩제조과정등과는무관하게, 회로설계및디자인에만집중하여개발할수있다는장점이있다. C. VHDL VHDL (VHSIC Hardware Description Language) 은디지털회로및혼합신호를표현하는하드웨어기술언어이다. FPGA나집적회로등의전자공학회로를처리하는설계자동화에사용한다. 주로디지털회로설계에사용되고기존의심볼에의한회로도작성대신언어적형태로전자회로의기능을표현한다. 아날로그신호는 VHDL-AMS (VHDL Analog and Mixed-Signal Extensions) 로표현하나, 실제활용면에서디지털회로에많이적용되어사용한다. VHDL로표현된회로는실제동작하는기능적소자로변환하는합성 (synthesis) 과정을거치면동작할수있는회로가완성된다. 이때 FPGA나 ASIC 등을위한환경에따라합성된실제회로의소자가달라지기때문에칩설계시목적에맞는소자가합성되도록하는개발도구가존재한다. 예를들어 FPGA를판매하는회사는보통회로입력 ( 심볼및 VHDL코드 ) 부터시작

7 해서 VHDL 코드의합성, 모듈 ( 소자 ) 의배치등을지원하는도구를지원한다. D. EDIF (Electronic Design Interchange Format) EDIF는 netlist와회로데이터를저장하기위한업체중립적인포맷으로현재산업표준이다. 이포맷은 EDA (Electronic Design Automation) 산업을위해가장먼저만들어진중립적데이터변환포맷으로버전은 1.0.0부터 4.0.0까지개발되었다. 가장최신의 EDIF 버전은풍부한기능들을가지고있지만 FPGA의표준은 EDIF 버전 2.0.0을기준으로하고있다. E. JEDEC (Joint Electron Device Engineering Council) JEDEC은제조업체와사용자단체가합동으로집적회로 (IC) 등전자장치의통일규격을심의, 책정하는기구이다. 여기에서책정되는규격이국제표준이되므로 JEDEC은사실상이분야의국제표준화기구로통한다. F. FBDtoVerilog 원자력발전소의플랫폼전환 (PLC FPGA) 을지원하기위해개발된변환기로서 FBD를 Verilog로변환해주는변환기이다. FBD의 block과 Verilog의 module 간에 1 대 1 변환룰을가지고있으며, Verilog의 module은전문가에의해작성된 module을이용하여변환한다. G. Libero SoC Actel 사의상용소프트웨어로 FPGA를합성 (Synthesis), 배선및배치 (Place and Route) 등의기능이자동으로수행가능하다. 또한, Libero SoC에는 Mentor Graphic 사의상용소프트웨어인 Modelsim이포함되어있는데이는 Verilog, EDIF, JEDEC 포맷파일의시뮬레이션, 디버깅등이가능한환경을지원해준다.

8 그림 3 Libero SoC H. FBD Simulator & Scenario Generator FBD의시뮬레이션을위해개발된시뮬레이터로 FBDtoVerilog 변환기의 Correctness를검증하기위해개발되었다. Scenario Generator는 FBD Simulator에서사용될시나리오를자동으로생성하는도구로서도메인특징을반영한시나리오를생성할수있고, 무한한개수의시나리오를자동으로생성할수도있다. 또한생성된시나리오를 FBD Simulator에서만사용하는것이아니라 Verilog, EDIF, JEDEC 포맷을시뮬레이션할때도사용하는것이가능하다. 그림 4 FBD Simulator

9 그림 5 Scenario Generator

10 3. 프로젝트세부사항 A. 시스템구성도 i. 전체흐름도 하나의 FBD 에서변환하여얻은 Verilog HDL 파일과 EDIF 파일, JEDEC 파일을각각의시뮬레이션툴을사용하여얻은결과물을이용하여 Co- Simulation 하는 Tool 들을구현하는것이구현하고자하는것이목표이다.

11 ii. 시스템구성도 iii. VDHL 확장 VHDL은 Verilog HDL은서로다른특징을가지고있으며굉장히많이사용되는언어이다. 그렇기때문에 Verilog HDL만을위한 Co-Simulation Tool들을제작하는것보다 VHDL까지포함할수있는 Tool들을개발하는방향이옳다고판단하여추가적으로 VHDL 또한 Co-Simulation하고자한다. FBD에서 VHDL로변환하는기술은이미구현되어있으며 (FBDtoVHDL), VHDL을 EDIF로변환하는것은상용툴 (Libero SoC) 에서지원하고있는기술이다. 시뮬레이션도같은툴에서지원해주고있기때문에결과물을얻는것은이전까지의시스템흐름과큰차이는없다고볼수있다. 시뮬레이션결과물을이용하여 Co-Simulation하는것또한결과물분석으로서는차이가없을것이다. VHDL 을추가한시스템흐름도와시스템구성도는아래와같다.

12 - 확장된전체시스템흐름도 - 확장된시스템구성도

13 B. 시나리오 i. 작성된 FBD 를 FBDtoVerilog 를이용하여 Verilog HDL 파일로변환한다. 또는작성된 FBD 를 FBDtoVHDL 를이용하여 VHDL 파일로변환한다. ii. Verilog HDL 파일을 Libero SoC 를이용하여 EDIF 파일로만든다. 또는 VHDL 파일을 Libero SoC 를이용하여 EDIF 파일로만든다. iii. EDIF 를 Libero SoC 를이용하여 JEDEC 파일로만든다. iv. Scenario Generator 을이용하여무한히많은양의시나리오를생성한다. v. FBD Simulator 에서 FBD 파일과시나리오를넣어시뮬레이션을한다. ( 결 과물 1) vi. Libero SoC 에서 ModelSim 을이용하여 Verilog HDL (VHDL), EDIF, JEDEC 과 시나리오를넣어시뮬레이션을한다. ( 결과물 2, 3, 4) vii. 시뮬레이션에서입력한 Scenario 의 Coverage 를측정한다. Coverage Criteria 는 Quality, Thoroughness, Effectiveness 로한다. viii. 결과물 1, 2, 3, 4 를구현한 Co-Simulation Tool 들에입력하여결과를확인 한다. ix. Co-Simulation Tool 들에서보여주는 Scenario 의 Coverage Criteria 를확인 하고다시시나리오를생성하여시뮬레이션할지결정한다.

14 C. 기대효과 Verilog HDL부터시작하는하드웨어적접근방법이아닌소프트웨어적인방법인 FBD를이용하여설계를시작한다는것은소프트웨어개발자에게는하드웨어에접근하는좋은방향이될수있다. 하지만 FBD로시작된설계가원하는결과를도출하고있는지확인하기위해서는 Equivalence Check를할필요가있지만이를위해서는 Sequential, Combinational한 Check를모두수행해야하기때문에사실상어렵다고볼수있다. 때문에 Combination하게 Check를해보기위해서시뮬레이션결과를이용하여 Co-Simulation을수행하는시스템을구현하고자한것이다. 이시스템의구현을통해같은시나리오를이용한시뮬레이션에서도출되는직접적인결과물들을이용하여같은시간과입력정보를토대로같은동작을수행하는지여부를확인할수있을것이다. 또한무한히많은시나리오를이용하여시뮬레이션을통한결과물을직접적으로이용하는것이며시나리오의 Coverage를측정하기때문에비슷한시나리오가무한히많이생성되어시뮬레이션되는경우가발생하더라도이 Coverage를통해어떤현상인지아는것이가능하기때문에보다정확한검증이가능하게될것이라고예상한다. D. 개발환경 OS 하드웨어 : Microsoft Windows 8 64bit : Intel i7-3520m CPU 2.90GHz, RAM : 8.00GB 언어 : JAVA (jdk 1.7) 개발도구 : Eclipse Standard Kepler Service Release 2

15 4. 스케줄 5월 30일까지제안서제출 8월 1주차 중간보고서 1 8월 2주차 중간보고서 2 11월 1주차 최종보고서 내용 제안서 Implementation(1) 중간보고서1 제작 Implementation(2) 중간보고서2 제작 Debugging Implementation(3) 최종보고서제작전시회준비

16 5. 팀구성및역할 팀장 : 김재엽 학번 : radic2510@gmail.com 연락처 : 수행업무 : 전체프로젝트관리, 통합및개발 팀원 : 김그린 학번 : greenggom@gmail.com 연락처 : 수행업무 : UI 구성및개발 팀원 : 김신 학번 : thini08@gmail.com 연락처 : 수행업무 : 세부기술개발

17 6. 참고문헌 A. Junbeom Yoo, Jong-Hoon Lee, Sehun Jeong and Sungdeok Cha, "FBDtoVerilog: A Vendor-Independent Translation from FBDs into Verilog Programs," The Twenty-Third International Conference on Software Engineering and Knowledge Engineering (SEKE 2011), pp.48-51, July 7-9, Miami Beach, USA, 2011.s B. 김의섭, 이동아, 유준범, "FBDtoVerilog 변환기의 Correctness 를검증하기위한자동화 된시나리오생성기구현 ", 2014 년정보처리학회춘계학술발표대회논문집제 21 권 1 호, pp , C. IEC : International Electrotechnical Commission, International standard for programmable controllers : Programming languages, part 3 (1993). D. Libero SoC : E. ModelSim : F. Wikipedia - 하드웨어기술언어 : 하드웨어 _ 기술 _ 언어 / G. Wikipedia Code Coverage : H. Mauro Pezze, Michal Young, Software Testing and Analysis: Process, Principles and Techniques, Wiley, 2007.

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

CONTENTS C U B A I C U B A 8 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII Part IX 9 C U B A 10 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII Part IX 11 C U B

More information

세계 비지니스 정보

세계 비지니스 정보 - i - ii - iii - iv - v - vi - vii - viii - ix - 1 - 2 - 3 - - - - - - - - - - 4 - - - - - - 5 - - - - - - - - - - - 6 - - - - - - - - - 7 - - - - 8 - 9 - 10 - - - - - - - - - - - - 11 - - - 12 - 13 -

More information

우루과이 내지-1

우루과이 내지-1 U R U G U A Y U r u g u a y 1. 2 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII 3 U r u g u a y 2. 4 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII 5 U r u g u a

More information

- i - - ii - - i - - ii - - i - - ii - - iii - - iv - - v - - vi - - vii - - viii - - ix - - x - - xi - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 -

More information

CONTENTS.HWP

CONTENTS.HWP i ii iii iv v vi vii viii ix x xi - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 -

More information

INDUS-8.HWP

INDUS-8.HWP i iii iv v vi vii viii ix x xi 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

00-1표지

00-1표지 summary _I II_ summary _III 1 1 2 2 5 5 5 8 10 12 13 14 18 24 28 29 29 33 41 45 45 45 45 47 IV_ contents 48 48 48 49 50 51 52 55 60 60 61 62 63 63 64 64 65 65 65 69 69 69 74 76 76 77 78 _V 78 79 79 81

More information

À̶õ°³È²³»Áö.PDF

À̶õ°³È²³»Áö.PDF Islamic Republic of Iran I I S L A M I C R E P U B L I C O F I R A N 10 Part I 11 I S L A M I C R E P U B L I C O F I R A N 12 Part I 13 I S L A M I C R E P U B L I C O F I R A N 14 II I S L A M I C R

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

경제통상 내지.PS

경제통상 내지.PS CONTENTS I 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 II 38 39 40 41 42 43 III 46 47 48 49 50 51 52 53 54 55 56 57 58 59 IV 62 63 64 65 66 67 68 69 V

More information

°æÁ¦Åë»ó³»Áö.PDF

°æÁ¦Åë»ó³»Áö.PDF CONTENTS I 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 II 38 39 40 41 42 43 III 46 47 48 49 50 51 52 53 54 55 56 57 58 59 IV 62 63 64 65 66 67 68 69 V

More information

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software 소프트웨어모델링및분석 (Equivalence Checking 소개 ) 김의섭 Dependable Software Laboratory KONKUK University 2016.06.03 Equivalence Checking 이란? Equivalence Checking: 두프로그램이동일한기능을하는지정형적으로검증하는방법 왜 Equivalence Checking 이필요한가?

More information

영암군 관광종합개발계획 제6장 관광(단)지 개발계획 제7장 관광브랜드 강화사업 1. 월출산 기( 氣 )체험촌 조성사업 167 (바둑테마파크 기본 계획 변경) 2. 성기동 관광지 명소화 사업 201 3. 마한문화공원 명소화 사업 219 4. 기찬랜드 명소화 사업 240

영암군 관광종합개발계획 제6장 관광(단)지 개발계획 제7장 관광브랜드 강화사업 1. 월출산 기( 氣 )체험촌 조성사업 167 (바둑테마파크 기본 계획 변경) 2. 성기동 관광지 명소화 사업 201 3. 마한문화공원 명소화 사업 219 4. 기찬랜드 명소화 사업 240 목 차 제1장 과업의 개요 1. 과업의 배경 및 목적 3 2. 과업의 성격 5 3. 과업의 범위 6 4. 과업수행체계 7 제2장 지역현황분석 1. 지역 일반현황 분석 11 2. 관광환경 분석 25 3. 이미지조사 분석 45 4. 이해관계자 의견조사 분석 54 제3장 사업환경분석 1. 국내 외 관광여건분석 69 2. 관련계획 및 법규 검토 78 3. 국내 외

More information

[96_RE11]LMOs(......).HWP

[96_RE11]LMOs(......).HWP - i - - ii - - iii - - iv - - v - - vi - - vii - 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54

More information

israel-내지-1-4

israel-내지-1-4 israel-내지-1-4 1904.1.1 12:49 AM 페이지1 mac2 2015. 11 Contents S T A T E O F I S R A E L 8 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII 9 S T A T E O F I S R A E L 10 Part I Part

More information

2 0 1 1 4 2011 1 2 Part I. 1-1 1-2 1-3 1-4 1-5 1-6 1-7 1-8 Part II. 2-1 2-2 2-3 2-4 2-5 2-6 2-7 2-8 2-9 2-10 2-11 2-12 2-13 2-14 2-15 2-16 2-17 2-18 2-19 2-20 2-21 2-22 2-23 2-24 2-25 2-26 2-27 2-28

More information

i ii iii iv v vi vii viii 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 XXXXXXXX 22 24 25 26 27 28 29 30 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61

More information

untitled

untitled 1 PLC 1.1 PLC 1.1.1 PLC PLC(Programmable Logic Controller),,, LSI,,. (NEMA: National Electrical Manufactrurers Association),,,,. 1.1.2 PLC PLC. FMS(Flexible Manufacturing System) PLC,. 1-1 PLC. - 5 - 1.2

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

대표이사등의확인서명(11.03.30) I. 회사의 개요 1. 회사의 개요 1. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기ㆍ 반기보고서를 제출하는 경우에 한함) (단위 : 백만원) 상호 설립일 주소 주요사업 직전사업연도말 자산총액 지배

대표이사등의확인서명(11.03.30) I. 회사의 개요 1. 회사의 개요 1. 연결대상 종속회사 개황(연결재무제표를 작성하는 주권상장법인이 사업보고서, 분기ㆍ 반기보고서를 제출하는 경우에 한함) (단위 : 백만원) 상호 설립일 주소 주요사업 직전사업연도말 자산총액 지배 사 업 보 고 서 (제 21 기) 사업연도 2010년 01월 01일 2010년 12월 31일 부터 까지 금융위원회 한국거래소 귀중 2011년 3월 30일 회 사 명 : (주)한글과컴퓨터 대 표 이 사 : 김상철,이홍구 본 점 소 재 지 : 서울시 광진구 구의동 546-4 프라임센터 21층 (전 화)02-3424-3400 (홈페이지) http://www.hancom.co.kr

More information

<4D F736F F D20C0CCBEBEC1A6BEEE5FC3A5BCD2B0B35F >

<4D F736F F D20C0CCBEBEC1A6BEEE5FC3A5BCD2B0B35F > 이씨제어 한글기술서적소개 1. SIMATIC S7-300/400 초급과정교육교재 S7-300/400 에대한초급교육에사용되는한글판교육교재. * 참고영어원문 : Programming with STEP 7, Automating with STEP 7 in STL and SCL A4 단면 280 쪽, 파워포인트컬러판 2. SIMATIC S7-300/400 중급과정교육교재

More information

통신1310_01-도비라및목차1~9

통신1310_01-도비라및목차1~9 ISSN 5-693 13. 1 13. 1 3 1 3 1 i .75 1.5.75 1. 1..9.9 7.5 ) 7.5 ) 3. 1.5 1.5 9. ) 1. ) ii 8 6 8 6 - - 3 1 1 11 1 9 8 7 iii 6 5 6 5 3 3 1 8 1 8 1 1 6 6-1 -1 - - iv . 1.5 1.. 1.5 1..5. -.5.5. -.5

More information

*통신1802_01-도비라및목차1~11

*통신1802_01-도비라및목차1~11 ISSN 25-2693 218. 2 218. 2 214 215 216 217 2.6 2.9 1.5 1.8 1.2 3.1 3.2 1.3 2.1 1.8 2.6 2.5 2.8 2.4.4 1.4.9 1.4 1.5 2.9 2.5 7.3 6.9 6.7 6.8 6.9 6.9 6.8 2.8 14 2.6 13 2.4 12 2.2 2. 11 1.8 1.6 1.4

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 솔루션발표 김의섭 목차 1. Coverage 관련 TestBench Generation Multi-level Coverage 2. Stability Analysis 관련 3. 창의과제논문관련 A Seamless Platform Change of Digital I&Cs from PLC to FPGA: Empirical Case Study An Integrated

More information

미얀-내지-8차

미얀-내지-8차 미얀-내지-8차 2014.10.29 12:44 AM 페이지1 mac2 Contents I The Republic of the Union of Myanmar 12 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII Part IX 13 The Republic of the Union

More information

National Food & Nutrition Statistics 2011: based on 2008~2011 Korea National Health and Nutrition Examination Survey (Ⅱ) i ii iii iv v vi vii viii ix (N=33,745, 단위 : g) (N=33,745, 단위 : g) (N=33,745,

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

<C1A4C3A5BFACB1B82031312D3420C1A4BDC5C1FAC8AFC0DAC0C720C6EDB0DFC7D8BCD220B9D720C0CEBDC4B0B3BCB1C0BB20C0A7C7D120B4EBBBF3BAB020C0CEB1C720B1B3C0B020C7C1B7CEB1D7B7A520B0B3B9DF20BAB8B0EDBCAD28C7A5C1F6C0AF292E687770>

<C1A4C3A5BFACB1B82031312D3420C1A4BDC5C1FAC8AFC0DAC0C720C6EDB0DFC7D8BCD220B9D720C0CEBDC4B0B3BCB1C0BB20C0A7C7D120B4EBBBF3BAB020C0CEB1C720B1B3C0B020C7C1B7CEB1D7B7A520B0B3B9DF20BAB8B0EDBCAD28C7A5C1F6C0AF292E687770> 제 출 문 보건복지부장관 귀 하 이 보고서를 정신질환자의 편견 해소 및 인식 개선을 위한 대상별 인권 교육프로그램 개발 연구의 결과보고서로 제출합니다 주관연구기관명 서울여자간호대학 산학협력단 연 구 책 임 자 김 경 희 연 구 원 김 계 하 문 용 훈 염 형 국 오 영 아 윤 희 상 이 명 수 홍 선 미 연 구 보 조 원 임 주 리 보 조 원 이 난 희 요

More information

표1

표1 i ii Korean System of National Accounts iii iv Korean System of National Accounts v vi Korean System of National Accounts vii viii Korean System of National Accounts 3 4 KOREAN SYSTEM OF NATIONAL ACCOUNTS

More information

°æÁ¦Àü¸Á-µ¼º¸.PDF

°æÁ¦Àü¸Á-µ¼º¸.PDF www.keri.org i ii iii iv v vi vii viii ix x xi xii xiii xiv xv 3 4 5 6 7 8 9 10 11 12 13 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 47 48 49 50 51 52 53

More information

untitled

untitled 기술 자습서 Part IV 02 p. 35 01 p. 16 01 02 03 04 05 06 07 08 01 02 03 04 05 06 07 01 01 02 03 05 06 07 02 03 04 06 08 110 V 01 p. 56 01 02 03 04 05 06 01 02 03 04 06 02 p. 67 01 02 03 04 05 06 07 01 02 03

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

I. 회사의 개요 1. 회사의 개요 1) 회사의 법적, 상업적 명칭 당사의 명칭은 "주식회사 한글과컴퓨터"라고 표기합니다. 또한 영문으로는 "HANCOM INC." 라 표기합니다. 단, 약식으로 표기할 경우에는 (주)한글과컴퓨터라 고 표기합니다. 2) 설립일자 및 존속

I. 회사의 개요 1. 회사의 개요 1) 회사의 법적, 상업적 명칭 당사의 명칭은 주식회사 한글과컴퓨터라고 표기합니다. 또한 영문으로는 HANCOM INC. 라 표기합니다. 단, 약식으로 표기할 경우에는 (주)한글과컴퓨터라 고 표기합니다. 2) 설립일자 및 존속 반 기 보 고 서 (제 23 기) 사업연도 2012년 01월 01일 2012년 06월 30일 부터 까지 금융위원회 한국거래소 귀중 2012년 8 월 14 일 회 사 명 : 주식회사 한글과컴퓨터 대 표 이 사 : 김 상 철,이 홍 구 본 점 소 재 지 : 경기도 성남시 분당구 대왕판교로 644번길49 한컴타워 10층 (전 화) 031-627-7000 (홈페이지)

More information

<4D6963726F736F667420576F7264202D20B4EBBFF5203230303520BFB5BEF7BAB8B0EDBCAD2E646F63>

<4D6963726F736F667420576F7264202D20B4EBBFF5203230303520BFB5BEF7BAB8B0EDBCAD2E646F63> 第 46 期 定 期 柱 主 總 會 日 時 : 2006. 5. 26 午 前 11 時 場 所 : 大 熊 本 社 講 堂 株 式 會 社 大 熊 회 순 Ⅰ. 개회선언 Ⅱ. 국민의례 Ⅲ. 출석주주 및 출석주식수 보고 Ⅳ. 의장인사 Ⅴ. 회의의 목적사항 1. 보고사항 감사의 감사보고 영업보고 외부감사인 선임 보고 2. 의결사항 제1호 의안 : 제4기 대차대조표, 손익계산서

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

09 강제근로의 금지 폭행의 금지 공민권 행사의 보장 38 10 중간착취의 금지 41 - 대판 2008.9.25, 2006도7660 [근로기준법위반] (쌍용자동차 취업알선 사례) 11 균등대우의 원칙 43 - 대판 2003.3.14, 2002도3883 [남녀고용평등법위

09 강제근로의 금지 폭행의 금지 공민권 행사의 보장 38 10 중간착취의 금지 41 - 대판 2008.9.25, 2006도7660 [근로기준법위반] (쌍용자동차 취업알선 사례) 11 균등대우의 원칙 43 - 대판 2003.3.14, 2002도3883 [남녀고용평등법위 01 노동법 법원으로서의 노동관행 15 - 대판 2002.4.23, 2000다50701 [퇴직금] (한국전력공사 사례) 02 노동법과 신의성실의 원칙 17 - 대판 1994.9.30, 94다9092 [고용관계존재확인등] (대한조선공사 사례) 03 퇴직금 청구권 사전 포기 약정의 효력 19 - 대판 1998.3.27, 97다49732 [퇴직금] (아시아나 항공

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Introduction to Development and V&V of FPGA-based Digital I&Cs 김의섭 목차 1. FPGA 2. Development Process / V&V 3. Summary 2 01 [ ] FPGA FPGA 프로그램이가능한비메모리반도체의일종. 회로변경이불가능한일반반도체와달리용도에맞게회로를다시새겨넣을수있다. 따라서사용자는자신의용도에맞게반도체의기능을소프트웨어프로그램하듯이변형시킬수있다.

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

*통신1604_01-도비라및목차1~12

*통신1604_01-도비라및목차1~12 ISSN 25-2693 216. 4 216. 4 213 214 215 1.5 2.4 2.4.6 3.9 2. 1.4 -.3.9 1.6 2.3 1.6 1.2 1.3 1.4..5 4.6-1.4 1.4-1.1 7.7 7.3 6.9 7. 7. 6.9 6.8 14 13 12 11 1 9 8 7 5 4 3 2 1 i 4 4 3 3 2. 1.5 1. 2.

More information

COTS SW Dedication

COTS SW Dedication COTS SW DEDICATION Introduction Jsjj0728@konkuk.ac.kr 내용 1.0 Commercial-Grade Item Dedication... 2 1.1 소개... 2 2.0 용어정리및약어... 3 2.1 용어정리... 3 2.2 약어... 6 3.0 NP-5652/TR-106439 개요... 7 3.1 NP-5652의인증방법...

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

*통신1510_01-도비라및목차1~12

*통신1510_01-도비라및목차1~12 ISSN 5-693 15. 11 15. 11 1 13 1 15. 1.5..3.1.6 3.9 -.8 -.3.9 1. 1.6.1 1. 1.7 1.6 -.1-1.1 1.3.5-1. 7.7 7.7 7.3 7. 7. 7. 7. 8 6 8 6 i 3 1-1 3 1-1 5 5 3 3 1 1 ii 1 8 5 3.5 3.5 3. 3..5.5.. 1.5 1.5

More information

<28323129BACFC7D1B1B3C0B0C1A4C3A5B5BFC7E228B1E2BCFABAB8B0ED20545220323031342D373929202D20C6EDC1FD2035B1B32E687770>

<28323129BACFC7D1B1B3C0B0C1A4C3A5B5BFC7E228B1E2BCFABAB8B0ED20545220323031342D373929202D20C6EDC1FD2035B1B32E687770> 기술보고 TR 2014-79 북한 교육정책 동향 분석 및 서지 정보 구축 연구책임자 _ 김 정 원 (한국교육개발원 ) 공동연구자 _ 김 김 한 강 지 은 승 구 수 (한국교육개발원) 주 (한국교육개발원) 대 (한국교육개발원) 섭 (한국교육개발원) 연 구 조 원 _ 이 병 희 (한국교육개발원) 머리말 최근 통일에 대한 논의가 어느 때보다 활발합니다. 그에 따라

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 SMV 소개 Konkuk Univ. IT 융합정보보호학과 오예원, 박선영 목차 SMV 소개 CTL NuSMV 설치방법및예시 (lift) 향후계획 SMV SMV(Symbolic Model Verifier) 는유한상태시스템 (finite state system) 이 CTL(Computation Tree Logic) 이라는논리와 BDD(Binary Decision

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

사물인터넷비즈니스빅뱅_내지_11차_160421.indd

사물인터넷비즈니스빅뱅_내지_11차_160421.indd 사물인터넷 빅뱅 2016. 연대성 All Rights Reserved. 초판 1쇄 발행 2016년 4월 29일 지은이 연대성 펴낸이 장성두 펴낸곳 제이펍 출판신고 2009년 11월 10일 제406 2009 000087호 주소 경기도 파주시 문발로 141 뮤즈빌딩 403호 전화 070 8201 9010 / 팩스 02 6280 0405 홈페이지 www.jpub.kr

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

세계 비지니스 정보

세계 비지니스 정보 1.... 1 2. /2005... 3 3.... 6 4.... 8 5. /... 9 6....12 7. /...17 8....23 9. /...26 10....28 11....29 12....30 13. /...31 14....32 15....33 16. /...35 17....39 - i 18....43 19....46 20....51 21....53 22....56

More information

CAE Conference 2012 Electrical CAE 기술동향 - Electronic Design Automation 이윤식박사 / 전자부품연구원 대전컨벤션센터 년 CAE 협회

CAE Conference 2012 Electrical CAE 기술동향 - Electronic Design Automation 이윤식박사 / 전자부품연구원 대전컨벤션센터 년 CAE 협회 CAE Conference 2012 Electrical CAE 기술동향 - Electronic Design Automation 이윤식박사 / 전자부품연구원 대전컨벤션센터 2012. 11. 23 0. 목차 1. CAE 란? q CAE: 컴퓨터를이용한해석, 분석등의과정을의미 Ø 제품설계, 개발분야에컴퓨터를응용하는기술로써, 컴퓨터를이용한모의실험을통해테스트기간및비용을대폭감소하는기술

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

2 ㆍ 大 韓 政 治 學 會 報 ( 第 20輯 1 號 ) 도에서는 고려 말에 주자학을 받아들인 사대부들을 중심으로 보급되기 시작하였고, 이후 조선시대에 들어와서는 국가적인 정책을 통해 민간에까지 보급되면서 주자 성리학의 심 화에 커다란 역할을 담당하였다. 1) 조선시대

2 ㆍ 大 韓 政 治 學 會 報 ( 第 20輯 1 號 ) 도에서는 고려 말에 주자학을 받아들인 사대부들을 중심으로 보급되기 시작하였고, 이후 조선시대에 들어와서는 국가적인 정책을 통해 민간에까지 보급되면서 주자 성리학의 심 화에 커다란 역할을 담당하였다. 1) 조선시대 대한정치학회보 20집 1호 2012년 6월: 77~99 세종과 소학( 小 學 ) : 민풍( 民 風 ) 과 사풍( 士 風 ) 의 교화* 1) 박홍규 ㆍ송재혁 고려대학교 요 약 2 기존 소학 에 대한 연구들은 주로 중종( 中 宗 ) 시대 사림( 士 林 ) 과의 연관선상에서 소학 의 의미를 모색하고 있다. 그러나 소학 에 대한 존숭 의식은 이미 조선 전기 관학파들도

More information

<C1F6BFAA5357BBEABEF7C0B0BCBAC1A4C3A5BFACB1B82866696E616C292E687770>

<C1F6BFAA5357BBEABEF7C0B0BCBAC1A4C3A5BFACB1B82866696E616C292E687770> 지역 소프트웨어산업 육성정책 연구 2004. 10. 11 KIPA/KIET 제 출 문 한국소프트웨어진흥원장 귀하 본 보고서를 지역 소프트웨어산업 육성정 책 연구 에 관한 연구용역의 최종 보고서로 제출합니다. 2004년 10월 산업연구원장 오 상 봉 연 구 수 행 자 연구책임자: 최봉현 (산업연구원 연구위원) 연구참여자: 김홍석 (산업연구원 부연구위원) 허문구

More information

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770>

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 2 pp. 866-871, 2012 http://dx.doi.org/10.5762/kais.2012.13.2.866 증강현실을 이용한 아동교육프로그램 모델제안 권미란 1*, 김정일 2 1 나사렛대학교 아동학과, 2 한세대학교 e-비즈니스학과

More information

Microsoft Word - Experiment 5.docx

Microsoft Word - Experiment 5.docx Experiment 5. Use of Generic Array Logic Abstract 본실험에서는임의의복잡한회로를구현하기위한방법으로수업시간에배운 Programmable Logic Device(PLD) 를직접프로그램하여사용해보도록한다. 첫째로, 본실험에서는한번프로그램되면퓨즈를끊는방향으로만수정할수있는 Programmable Array Logic을대신하여, 재생가능한

More information

User's Guide

User's Guide KOR < > 1. ( ) : Laser Beam Printer (LBP3000) 2. : CANON INC. 3. / : CANON ZHONGSHAN BUSINESS MACHINESCO. LTD No.26,Huoju Dadao,Concentrated-constructed Quarter, Zhongshan Torch Hi-Tech Industrial Development

More information

untitled

untitled MDEP I&C 2009. 4.6 ~ 4.7 14 I. MDEP II. DICWG III. DICWG SW IV. Nuclear Safety Information Conference 2009 Slide -2- I. MDEP MDEP? Multinational Design Evaluation Program MDEP Nuclear Safety Information

More information

IBM blue-and-white template

IBM blue-and-white template 쌍용자동차 CATIA V5 적용사례 쌍용자동차기술관리팀안재민 AGENDA 1. SYMC PRODUCT LINE UP 2. SYMC PDM Overview 3. CV5 & PDM Implementation Overview 4. PDM을이용한 CV5 Relational Design 5. 향후과제 6. Q & A 2 Presentation Title 1 2 1.

More information

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX 20062 () wwwexellencom sales@exellencom () 1 FMX 1 11 5M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX D E (one

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

<C7D0B1B3C7F5BDC520BBE7B7CAB9DFB1BCB0FA20C8AEBBEAC0BB20C0A7C7D120B3D7C6AEBFF720B1B8C3E0B9E6BEC8BFACB1B828323030362D30362C20C0CEBCE2BABB292E687770>

<C7D0B1B3C7F5BDC520BBE7B7CAB9DFB1BCB0FA20C8AEBBEAC0BB20C0A7C7D120B3D7C6AEBFF720B1B8C3E0B9E6BEC8BFACB1B828323030362D30362C20C0CEBCE2BABB292E687770> 정책연구 2005-06 학교혁신 사례 발굴과 확산을 위한 네트웍 구축 방안 연구 연구책임자: 서근원 대통령 자문 교육혁신위원회 학교혁신 사례 발굴과 확산을 위한 네트웍 구축 방안 연구 2005 년 12 월 20 일 연구진 연구책임자 : 서근원 ( 서울대학교 교육학과 강사 ) 공동연구자 : 서길원 ( 경기도 남한산초등학교 교사 ) 안순억 ( 경기도 남한산초등학교

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

인니 내지-00-5

인니 내지-00-5 인니 내지-00-5 2016.5.10 12:49 AM 페이지3 mac2 I. I N D N E S I A 12 Part I 13 I N D N E S I A 14 Part I 15 I N D N E S I A 16 Part I 17 인니 내지-00-5 2016.5.10 12:49 AM 페이지19 mac2 II. 정치 1. 국가이념및정치정세개관

More information

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 13 Lightweight BPM Engine SW 13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 BPM? 13 13 Vendor BPM?? EA??? http://en.wikipedia.org/wiki/business_process_management,

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

<C6EDC1FD2DBEC6BDC3BEC6B9AEC8ADC1DFBDC9B5B5BDC3C5F5C0DAC1F8C8EFC1F6B1B8C1F6BFF8B1E2C1D8B9D7C6F2B0A1B9E6B9FD28C3D6C1BE295F6F6BBCF6C1A45F303330385FBCF6C1A42E687770>

<C6EDC1FD2DBEC6BDC3BEC6B9AEC8ADC1DFBDC9B5B5BDC3C5F5C0DAC1F8C8EFC1F6B1B8C1F6BFF8B1E2C1D8B9D7C6F2B0A1B9E6B9FD28C3D6C1BE295F6F6BBCF6C1A45F303330385FBCF6C1A42E687770> 제출문 문화체육관광부 장관 귀하 본 보고서를 문화체육관광부 아시아문화중심도시추진단 아시아문화중심도시 투자진흥지구 지원기준 및 평가방법 연구 연구용역의 최종보고서로 제출합니다. 2011년 12월 한국문화관광연구원 원장 직무대리 정광렬 연구책임자 옥성수 한국문화관광연구원 책임연구원 공동연구자 나주몽 전남대학교 경제학부 교수 임채성 건국대학교 경영학과 교수 김홍규

More information

스타크래프트 II: 자유의 날개 TM 빠른 시작을 위한 안내서 시작하기 시스템 최소 요구 사항 PC운영 체제: 최신 서비스 팩과 DirectX 9.0c가 설치된 Windows XP/Windows Vista /Windows 7 CPU: 그래픽 카드: Intel Pentium 4 2.6 GHz 또는 동급의 AMD Athlon 프로세서 128 MB PCIe NVIDIA

More information

Microsoft PowerPoint - 권장 사양

Microsoft PowerPoint - 권장 사양 Autodesk 제품컴퓨터사양 PRONETSOFT.CO 박경현 1 AutoCAD 시스템사양 시스템요구사양 32 비트 AutoCAD 2009 를위한시스템요구사항 Intel Pentium 4 프로세서 2.2GHz 이상, 또는 Intel 또는 AMD 듀얼 코어프로세서 16GH 1.6GHz 이상 Microsoft Windows Vista, Windows XP Home

More information

<BFDCB1B9C0CE20C5F5C0DAB1E2BEF7C0C720B3EBBBE7B0FCB0E82E687770>

<BFDCB1B9C0CE20C5F5C0DAB1E2BEF7C0C720B3EBBBE7B0FCB0E82E687770> 외국인 투자기업의 노사관계 요 약 i ii 외국인 투자기업의 노사관계 요 약 iii iv 외국인 투자기업의 노사관계 요 약 v vi 외국인 투자기업의 노사관계 요 약 vii viii 외국인 투자기업의 노사관계 요 약 ix x 외국인 투자기업의 노사관계 요 약 xi xii 외국인 투자기업의 노사관계 요 약 xiii xiv 외국인 투자기업의 노사관계

More information

비디오 / 그래픽 아답터 네트워크 만약에 ArcGolbe를 사용하는 경우, 추가적인 디스크 공간 필요. ArcGlobe는 캐시파일을 생성하여 사용 24 비트 그래픽 가속기 Oepn GL 2.0 이상을 지원하는 비디오카드 최소 64 MB 이고 256 MB 이상을 메모리

비디오 / 그래픽 아답터 네트워크 만약에 ArcGolbe를 사용하는 경우, 추가적인 디스크 공간 필요. ArcGlobe는 캐시파일을 생성하여 사용 24 비트 그래픽 가속기 Oepn GL 2.0 이상을 지원하는 비디오카드 최소 64 MB 이고 256 MB 이상을 메모리 ArcGIS for Desktop 10.4 Single Use 설치가이드 Software: ArcGIS for Desktop 10.4 Platforms: Windows 10, 8.1, 7, Server 2012, Server 2008 ArcGIS for Desktop 10.4 시스템 요구사항 1. 지원 플랫폼 운영체제 최소 OS 버전 최대 OS 버전 Windows

More information

제 출 문 환경부장관 귀하 본 보고서를 폐기물관리 규제개선 방안연구 에 관한 최종보고서로 제출합니다 연구기관 한국산업폐기물처리공제조합 연구책임자 연 구 원 연구보조원 이 남 웅 황 연 석 은 정 환 백 인 근 성 낙 근 오 형 조 부이사장 상근이사 기술팀장 법률팀장 기

제 출 문 환경부장관 귀하 본 보고서를 폐기물관리 규제개선 방안연구 에 관한 최종보고서로 제출합니다 연구기관 한국산업폐기물처리공제조합 연구책임자 연 구 원 연구보조원 이 남 웅 황 연 석 은 정 환 백 인 근 성 낙 근 오 형 조 부이사장 상근이사 기술팀장 법률팀장 기 최종보고서 폐기물관리 규제개선방안 연구 ( 업계 건의사항 및 질의사례 중심) 2006. 9 환 경 부 제 출 문 환경부장관 귀하 본 보고서를 폐기물관리 규제개선 방안연구 에 관한 최종보고서로 제출합니다 연구기관 한국산업폐기물처리공제조합 연구책임자 연 구 원 연구보조원 이 남 웅 황 연 석 은 정 환 백 인 근 성 낙 근 오 형 조 부이사장 상근이사 기술팀장

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information