WAN에서 다자간 통신을 위한 계층적 오류복구 기법

Size: px
Start display at page:

Download "WAN에서 다자간 통신을 위한 계층적 오류복구 기법"

Transcription

1 리눅스 Bonding 드라이버의성능분석 (Performance Analysis of Ethernet Bonding Driver in Linux) 김재열, 강동재, 김수영, 차규일한국전자통신연구원시스템소프트웨어연구팀 {gauri, djkang, sykim, 요 약 최근의컴퓨터시스템은네트워크를제외하고는생각할수조차없을정도로네트워크에대한의존성이매우크다. 저렴한비용으로서버시스템에장착된다수의물리적인이더넷인터페이스를하나의논리적인이더넷인터페이스로만들어서버시스템의네트워크대역폭을넓히는방법이 Ethernet Link Aggregation( 이하 ELA 라고칭함 ) 이다. 본논문은공개소프트웨어중가장널리사용되고있는리눅스의최신커널 2.6 에서최근의서버시스템에서일반적으로사용하는기가비트이더넷인터페이스장치를통해 ELA 기능을제공하는리눅스 ethernet bonding 드라이버의 TCP 와 UDP 성능을측정하여이를평가하고분석하였다. Keywords : Ethernet Link Aggregation, ethernet bonding, trunking, Linux 1. 서론 최근멀티미디어스트리밍서버에서의대용량데이터전송이나유비쿼터스환경의센서네트워크에서와같은예측할수없는다수데이터의전송요구가증가함에따라서네트워크의성능 (high performance)[1] 및가용성 (high availability)[2] 에관련한많은연구들이진행되어왔다. 일반적인 2-Way 또는 4-Way 서버처럼다수의프로세서를내장하고있는시스템의경우, 네트워크처리에사용할수있는 CPU 의컴퓨팅자원이여유있음에도불구하고서버의물리적네트워크인터페이스의대역폭제약으로인하여네트워크성능이제한되는경우가발생한다. 이와같은경우서버시스템의네트워크대역폭확보는빠른네트워크전송이중요시되는시스템에서매우필수적인기능이다. 서버의물리적네트워크인터페이스의대역폭을증가시키는가장쉬운방법은더넓은대역폭을지원하는네트워크인터페이스카드와이를지원할수있는네트워크스위치등의인프라를갖추는것이다. 예를들면현재서버에서일반적으로사용하는기가비트이더넷을 10- 기가비 트 이더넷환경으로전환하는것이다. 하지만, 이러한방법은네트워크인프라를바꾸어야하기때문에상당한비용을지불해야한다. ELA 는이러한추가적인비용의지출없이현재의네트워크인프라를그대로이용해시스템의네트워크대역폭을증가시킬수있는방법으로매우유용하다. 다양한데이터의서비스를수행하는서버들의경우, 네트워크디바이스나기타회선의문제로인하여발생가능한최소한의네트워크가용성을보장하는것이요구된다. 일반적으로 ELA 에서는다수의물리적인네트워크인터페이스중일부가고장이나거나네트워크연결이끊기는경우나머지네트워크인터페이스만으로논리적네트워크인터페이스를구성해사용할수있도록하는기능도제공해네트워크가용성을향상시킬수있다. ELA 는여러개의물리적네트워크인터페이스들을하나의논리적네트워크인터페이스로가상화하는기술로서논리적네트워크인터페이스에대한전송처리요구를하부의여러물리적네트워크인터페이스들을통하여부하분산을수행함으로서네트워크의대역폭를증 67

2 가시킨다. 따라서, 서버에대한클라이언트의요청에대한응답시간을개선할수있으며네트워크인터페이스에대한대기시간을감소시킴으로써단위전송의빠른처리를제공한다. 또한, 여러개의물리적네트워크인터페이스들중의일부에발생하는고장에대하여응용프로그램들에게투명성을제공함으로써네트워크서비스의가용성을향상시킨다. 본논문에서는 ELA 의개발배경과 ELA 가사용되는경우의모델에대하여알아보고, 리눅스의 ELA 드라이버인리눅스 bonding 드라이버 [3] 에대하여살펴본다. 또한 TCP 및 UDP 를사용하는응용프로그램환경에서벤치마크실험을통하여리눅스 bonding 드라이버의성능평가및분석을수행하고이에대한결론과향후과제를도출한다. 2. Ethernet Link Aggregation 본장에서는먼저 ELA 의개발배경과 ELA 가사용되는환경에대해서간략히기술하고, 리눅스의 bonding 드라이버에대한구조및지원모드에대하여설명한다. 은 3 가지모델로정리가된다. [ 그림 1] 의첫번째모델은 ELA 가처음으로사용될때의일반적인모델로스위치와스위치간을연결한다. 그림 1. 스위치와스위치간 ELA 연결 [ 그림 1] 에서와같이초창기에는스위치와같은통신장비들사이의연결에만 ELA 기능이적용되었다. 하지만, 서버의네트워크기능이점차증가함에따라 [ 그림 2] 에서와같이서버와스위치간에도 EAL 가사용되었다. 물론이때사용되는스위치는 ELA 기능을지원하는스위치여야한다. 2.1 Ethernet Link Aggregation 의배경 최초의 ELA 기능은 trunking 이라는이름으로각네트워크장비업체들이독자적으로가지는특화된기술로서, 10/100Mbps 이더넷과 FDDI 환경에적용되어왔다. 예를들면네트워크장비업체중에는 CISCO 의 ISL(Inter-Switch Link trunking)[4] 과 Adaptec 의 Duralink port aggregation[5] 이대표적이며서버업체로는 Sun 의 Sun Trunking[6] 기술이있다. 그러나각업체들마다서로다른프로토콜들은타제조사들의제품에호환성을제공하지못하는이유로많은네트워크장비관리자들이장비통합에어려움을겪게되었다. 이런 trunking 기술의타제조사장비간비호환성을개선하기위해나온것이 IEEE802.3ad[7,8] 표준으로 1999 년에제정되었다. ELA 기술은초기에스위치나라우터등의네트워크장비들간의기간망연결에주로사용되었으나수년전부터서버시스템의네트워크대역폭의중요성이커지면서현재는서버와의연결지원도매우중요하다. ELA 를적용함에있어서는크게아래와같 그림 2. 서버와스위치간 ELA 연결 이러한구성과더불어최근에는서버와서버의네트워크연결에도 ELA 를적용할수있다. [ 그림 3] 은이러한연결을보여준다. [ 그림 3] 과같은경우는여러대의서버가동일한서비스를하는경우와같이근접한거리에서버들이모여있을때적용할수있다. 이기종으로이루어진 Beowulf cluster 에도이러한 ELA 를적용한사례 [9] 가있다. 그림 3. 서버와서버간 ELA 연결 68

3 2.2 리눅스 bonding 드라이버의구조 ELA 는물리적인다수의네트워크인터페이스들을하나의논리적인네트워크인터페이스로가상화하고, 논리적인네트워크인터페이스에대한데이터전송및수신요청을 bonding 에참여하는실제네트워크인터페이스 ( 이하 slave 로기재 ) 들에게다양한로드분산알고리즘에의하여처리를분산한다. 이러한다양한알고리즘에따른분류를모드라고하며, 각모드에관한설명은다음절에서기술하도록하며본절에서는 ELA 를위한리눅스 bonding 모듈의구조에대하여설명한다. [ 그림 4] 는 ethernet bonding 모듈의커널내에서의위치및간략한구조를보여주는그림이다. bonding 모듈은실제물리적인디바이스를운용하기위한드라이버의가상화를위한부분이므로시스템에서사용하는네트워크디바이스드라이버의상위에존재한다. round robin 과같은부분들은 bonding 모듈을통한전송요청시에데이터를어떠한기준으로하부 slave 들에게분배할것인지를결정하기위한정책들을구현한부분이며자세한내용은다음절에서설명하도록한다. bonding 모듈이커널에서사용되면, bonding 에참여하는모든 slave 들과논리적인 bonding 네트워크인터페이스는외부에공개되는동일한 IP 와 MAC 주소및설정을공유하게되어서통신상대가되는원격의노드와응용프로그램에게 bonding 에대한투명성을제공한다. 2.3 리눅스 bonding 드라이버의지원모드 본절에서는리눅스의 bonding 드라이버에서사용가능한모드에대하여살펴본다. 지원하는모드들의목적은고성능을위한 loadbalancing 부분과고가용성의보장을위한 fault tolerance 로구분할수있으며모드에따라서일부또는동시지원을하고있다. Balance-Round Robin Mode. 현재 bonding 을위하여 slave 로등록된 NIC(Network Interface Card) 의처음부터마지막까지순차적으로데이터를전송하는방식으로본모드에서는네트워크에대한전송부하를여러개의 slave 로분할하여전송함으로써 load balancing 을통한네트워크대역폭증가효과를얻을수있다. 또한 slave 로등록된일부 NIC 의고장시, 나머지 NIC 으로전송을수행함으로써네트워크가용성또한보장한다. 그림 4. Bonding 드라이버의구조와커널의관계 [ 그림 4] 에서의 link monitoring 부분은 bonding 에참여하는각 slave 의가용성을주기적으로점검하는부분으로써일부 slave 에대한고장이인식되면현재의 bonding 설정을변경한다. 본기능은디바이스드라이버의인터페이스에서 MII(Media Independent Interface) 나환경설정도구인 ethtool[10] 이제공하는경우에사용가능하다. 예를들면, 현재사용중인 slave 가고장으로인식되면 bonding 에참여하는가용한다른 slave 를현재사용을위한 slave 로재지정하는동작을수행하게된다. [ 그림 4] 에서 Active-Backup Mode. Bonding 을위하여등록된 slave 중오직하나의 NIC 만이 active 상태이며나머지는 backup 을위하여 inactive 상태로존재한다. 현재 active 상태인 NIC 에고장이발생하는경우, backup 을위하여등록된나머지 NIC 중에서하나가 active 로상태전이를하게됨으로써끊임없는데이터전송처리를수행할수있도록하는모드이다. 고장이발생한 NIC 에대한 backup 시에는동일한서비스 port 에대하여동일한 MAC 어드레스가외부에공개됨으로써어플리케이션들에대한고장상태의투명성을제공한다. 이모드에서는대역폭의증가효과는없으며네트워크의고가용성만을보장한다. 69

4 Balance-XOR Mode. 데이터전송시에 [destination MAC address x source MAC address % slave count] 의연산에근거하여사용할 slave 를선택하는모드이다. 따라서, 동일한목적지에대한데이터전송인경우, 동일한 slave 를통하여수행된다. 본모드에서도, Balance-Round Robin 모드와동일하게 load balancing 과 fault tolerance 기능을동시에지원한다. Broadcast Mode. slave 로등록된모든 NIC 에대하여데이터전송을중복처리하는모드이다. 동일한데이터에대하여 slave 로등록된 NIC 에대하여중복전송을수행하므로처리부하가크다. 따라서, 단일 NIC 의사용시보다성능은감소하지만 slave 로등록된모든 NIC 에고장이발생하지않는한네트워크전송은수행되어우수한 fault tolerance 를보장한다 ad Mode. 본모드에서는 slave 들을동일한속도와양방향통신의설정을공유하는 aggregation group 으로통합하며 active aggregator 에소속된모든 slave 를통하여송수신을수행하는모드이다. Balance-TLB(Adaptive Transmit Load Balancing). 데이터의송신은현재 slave 로등록된각 NIC 에대한 load 상태의계산에의하여분산되며데이터의수신은현재사용중인 slave 에의하여처리되므로수신에대한 load balancing 은지원하지않는다. 수신을위한 slave 에고장이발생하는경우, 다른 slave 가고장이발생한 slave 의 MAC 주소를인계받아서처리를수행한다. Balance-ALB(Adaptive Load Balancing). 상기 Balance-TLB 모드의수행방식에수신을위한 load balancing 기능이추가된모드이며수신을위한 load balancing 은 ARP 협상에의하여수행된다. 서버에의하여발생된 ARP 응답메시지를 bonding 모듈이 hooking 하여 ARP 응답메시지에존재하는발신측의하드웨어주소를 bonding 에참여하는임의 slave 의유일한하드웨어주소로덮어쓰기연산을수행함으로써 bonding 에참여하는모든 slave 는각자다른하드웨어주소를사용하게된다. 따라서, 수신시에각자해당하는데이터패킷을수신함 으로써수신을위한 load balancing 기능을제공한다. 시스템관리자는시스템의환경에따라서상기모드들의 ELA 중적절한것을선택해야한다. 일반적으로간단한환경에서는처리방식이단순한 balance round-robin 의경우가좋은성능을나타낼수있다. 3. 성능분석및평가 3.1 실험환경 리눅스커널 2.6 에포함되어있는 ELA 의성능을테스트하기위해아래와같은환경에서실험을하였다. 실험에는리눅스 bonding 드라이버의여러모드중일반적으로가장성능이좋은 balance round-robin 모드를사용하였다. 실험에사용된장비는서버와스위치로이루어지며, 서버는모두동일한사양을가지고있다. 서버에는 Intel Xeon 3.0GHz 의 CPU 가 2 개씩장착되어있으며, 메모리는각각 1GB 가장착되어있다. 실험에사용된네트워크카드는서버보드에내장되어있는인텔의 e1000 기가비트이더넷포트 2 개를사용하였다. 서버에사용된커널은리눅스커널 이다. 스위치는 3com 의 3c17700 기가비트스위치를사용하였으며네트워크성능을측정하는 benchmark tool 로는널리알려진 SGI 의 netperf 2.1 을사용하였다. netperf 는테스트시간을지정할수있으며, 이를 30 초로지정해모든실험을진행하였다. TCP 실험에서는대부분 netperf 의 default 설정을이용하여실험하였으며몇몇옵션을지정해실험한경우도있었으나결과값이크게다른경우가없어본논문에서따로결과를보여주지는않는다. 아래는본실험에사용된 netperf 의 tcp 테스트옵션이다. netperf l 30 H UDP 실험에서는소켓버퍼의크기와 message 의크기를 byte 로지정하여테스트하였다. 아래는본실험에사용된 netperf 의 udp 테스트옵션이다. netperf l 30 t UDP_STREAM H s m

5 3.2 실험 A (2G bonding - Switch 2G bonding) 실험 A 는 [ 그림 5] 와같은환경에서수행되었다. 이실험의목적은 ELA 의기능과성능을측정하는것을목적으로수행되었다. 그림 5. 실험 A [ 그림 5] 에서보듯이첫번째실험은두서버의양단을 mode-0(round-robin) 으로 bonding 시킨상태에서스위치에연결하여측정하였다. 리눅스의 bonding 이제공하는 mode-0 에서 mode-6 까지의 7 개의 mode 중 mode-0(roundrobin) 를선택하여실험한이유는 [ 그림 5] 와같은가장기본적인네트워크환경에서 link aggregation 기능을제공해최대의성능을낼수있는 mode 가 round-robin 이기때문이다. 실험은 TCP 와 UDP 모두에대하여수행하였으며프로세스의개수에따른성능상의차이점을알아보기위해테스트프로세스 (connection) 개수를 1, 5, 10, 20 로바꾸어가면서테스트하였으며 bonding 을하지않았을때의성능을비교해보기위해단일이더넷카드 (1-NIC) 의경우에도실험을수행하였다. [ 표 1] 실험 A 의결과 ( 단위 : Mbps) TCP UDP Stream 1-nic Bond(r-r) 1- nic Bond (r-r) [ 표 1] 의결과를살펴보면먼저, 1-NIC 의경우에는기가비트카드의최대성능인 1Gbps 에근접하게나왔으며 TCP 나 UDP 가큰차이가없음을알수있다. 약간의성능상의차이점은 TCP 가 UDP 보다 connection based 방식으로운 용되기때문에생기는부하때문이라고추정된다. Bonding 을한서버간의테스트결과를살펴보면 TCP 의경우에는 2 개의 link 를 aggregation 했음에도불구하고 1Gbps 를넘지못한결과를보여주고있으며, UDP 의경우에는 2Gbps 에가까운좋은성능을보여주었다. UDP 의경우에는 1-NIC 경우의결과를표시하고다수프로세스의결과는기록하지않았다. netperf 는자체적으로다수의 process 를수행해네트워크 bandwidth 를측정하는기능은제공해주지않기때문에본실험에서다수의프로세스가네트워크를이용한결과를측정할때는복수의 netperf 프로그램을수행시키는스크립트를작성하여사용하였다. 그러나이런방식을사용함으로써생기는문제는 process 를의도대로항상동시에수행시키지못할수있다는것이다. TCP 의경우에는대부분다수의프로세스가동시에수행되었으나 UDP 의경우에는각프로세스사이의간격이 TCP 에비해매우커서결과값을신뢰할수없었다. 또한하나이상의 UDP 대역폭실험이큰의미를갖지않는이유는결과에서볼수있듯이단일프로세스실험에서도 1900Mbps 이상의성능을보여주어물리적인한계인 2Gbps 에가깝게사용하고있음을알수있기때문이기도하다. 결과적으로 UDP 의경우는프로토콜의특성상단일연결에서도최대의네트워크성능을측정하기에충분함을알수있다. 위실험 A 에서 TCP 의경우성능이기대했던것과는달리 1Gbps 를넘지않았다. 이런성능상의문제가리눅스의 bonding 드라이버자체의문제인지, 주위의네트워크환경이나 bonding 을수행하는서버의처리능력이부족한것인지를확인하기위해실험 B 를수행하였다. 3.3 실험 B (200M bonding Switch 1G) 실험 A 에서 TCP 성능에 bonding 효과가전혀나타나지않은이유를찾기위하여실험 B 에서는 send 를하는서버의주위네트워크환경이충분히넓은대역폭을제공해줄수있도록송신서버에기가비트카드가아닌 100Mbps 이더넷카드 2 장을설치해이를 bonding 으로묶어테스트를진행하였다. [ 그림 6] 은실험 B 의실험환경을보여준다. 71

6 그림 6. 실험 B [ 표 2] 는실험 B 의실험결과를보여준다. 실험 B 의결과를살펴보면 UDP 의경우에는 192Mbps 로실험 A 의결과처럼 bonding 된네트워크대역폭을충분히활용하고있으며 TCP 의경우에도 188Mbps 라는좋은대역폭을보여주었다. [ 표 2] 실험 B 결과 ( 단위 : Mbps) TCP UDP Stream 1 NIC Bond (r-r) 1 NIC Bond (r-r) 이러한결과에서추정해볼수있는것은 bonding 드라이버가하위의이더넷인터페이스카드의 scalability 를제대로지원해주지못하는것은아니라고추정할수있으며따라서실험 A 에서 TCP 의성능이잘나오지않은이유는송신호스트의 processing 능력이 2Gbps 의네트워크부하를제대로처리하지못하거나원격호스트의수신처리능력이떨어진다고볼수있다. 일반적으로 TCP/IP 네트워크처리에드는 CPU 부하는 1bps 처리에 1hz 정도가소요된다고알려져있으며실험에사용한서버의 CPU 는 Intel Xeon 3.0Ghz 두개를사용한 SMP 시스템으로 2Ghz 의네트워크부하를처리하는데는무리가없을것으로판단되므로실험 A 에서 TCP 의성능이제대로나오지않은것은수신측의 bandwidth 가충분하지않기때문일것으로추정할수있다. 이와같은추정을확인하기위해수신측의 bandwidth 를충분히확보한실험 C 를수행하였다. 3.4 실험 C (2G bonding Switch 1G x 2) 실험 C 는수신측의대역폭을충분히확보하기위해 netperf test 의수신측서버를한대의호스트가아닌두대의호스트에서처리하도록 [ 그림 7] 와같은환경하에서수행하였다. 실험 C 시험환경의특징은 netperf client 의데이터를받는 netserver 를두대의호스트에서수행함으로써 netserver 의수신대역폭을보장할수있는것이다. netperf client 는서로다른두개의 netserver 로각각데이터를보내 client 의최대대역폭을확인할수있다. 이전의실험에서 TCP 의최대대역폭을측정하는데 10 개이상의 process 이면충분하다는것을확인할수있었으므로실험 C 에서는 TCP 와 UDP 모두각 netserver 로 5 개의요청을보내, 총 10 개의 process 를수행하는것으로실험을하였다. 그림 7. 실험 C [ 표 3] 은실험 C 의결과를보여준다. 실험 C 의결과를보면실험 A 와는달리 TCP 의경우에도 1800Mbps 에가까운만족할만한성능을보이고있다. 이는실험 A 에서의 TCP 테스트의성능이제대로나오지않은이유가수신측의 bandwidth 가충분하지않았기때문이라고할수있다. UDP 테스트결과는실험 A 에서와마찬가지로충분한성능을보이고있다. 이의실험결과를바탕으로실험에사용된리눅스커널의 bonding 드라이버는 send 시에충분한 bandwidth 를제공하고있다고판단할수있다. [ 표 3] 실험 C 결과 ( 단위 : Mbps) TCP(5+5) UDP(5+5) # Bond(r-r) Bond(r-r)

7 그렇다면문제가되는것은실험 A 의 bonding 시스템에서 receive 대역폭이확보되지않았다는점이며이를테스트하기위하여실험 D 를수행하였다. 3.5 실험 D (2G bonding 2G bonding) 리눅스 bonding 드라이버의 send 시의성능은실험 C 에서검증되었으므로이제 bonding 드라이버가 receive 시에얼마만큼의대역폭을처리할수있는지를테스트하기위해 [ 그림 8] 과같이각각 bonding 한호스트를크로스케이블을사용해스위치를거치지않고직접연결하였다. 이렇게하면실험 C 에서검증된 bonding 드라이버의 TCP send 성능이 1.8Gbps 의속도를낼수있을것이므로이실험의결과치가 1.8Gbps 이하로나온다면이를 bonding 드라이버의 receive 성능이라고볼수있을것이다. 그림 8. 실험 D 이러한구성이가능한이유는 mode-0, roundrobin 으로 bonding 을구성한경우에 send 측에서는각패킷마다 round-robin 방식으로하위의다수의 slave 로번갈아가면서보내기때문에두대의호스트를직접연결한경우에는스위치의도움을받지않고 receive 측에서는차례대로 packet 을받을수있기때문이다. TCP 의경우각 connection 을갖는 process 를 5 개, 10 개씩을사용하여실험한결과를보여준다. 10 개이상이되었을때는프로세스개수가최대 bandwidth 측정에영향을주지않아 10 개까지만테스트하였으며, UDP 의경우에는실험 1 에서언급한것과같이하나의 process 에서최대 bandwidth 를낼수있으므로 process 개수는 1 개일경우만실험하였다. 앞의실험에서언급하지않은 netperf 의결과중하나는 UDP 성능측정의경우 netperf 는 send 시의성능과 receive 의성능을함께측정해준다. 예를들면 [ 그림 9] 은 netperf UDP 테스트의출력결과의한예를보여준다. 그림 9. netperf 의 UDP 시험결과예 [ 그림 9] 에서테스트의결과는 send 시와 receive 시의두개가출력된다. UDP 는 TCP 와는달리수신측에서송신한데이터를제대로받았는지, 그렇지않은지를알수도없으며상관하지않는다. 그래서 UDP 테스트의경우에는 send 측에서보낸 UDP 데이터를 receive 측에서에러없이잘받았는지를나타내는 receive 성능을함께보여준다. 실험 D 는 TCP 와 UDP 의수신성능을측정하기위한실험이므로 netperf 의 UDP 테스트수신성능또한유효한의미를가지고있다. [ 표 4] 실험 D 의결과 ( 단위 : Mbps) Stream TCP # Type UDP Send Receive Send Receive [ 표 4] 는실험 D 의결과를보여준다. 먼저 TCP 성능결과를살펴보면 1450 Mbps 에서 1550 Mbps 정도의성능을보여주고있다. 이는실험 C 에서얻을수있었던 1.8 Gbps 의대역폭에비해떨어지는것이므로이값을 bonding 드라이버의 TCP receive 성능이라고볼수있을것이다. 따라서실험의결과에의하면리눅스의 bonding 드라이버는 TCP 의경우는 send 시가 receive 보다더좋은성능을보여준다는것을알수있다. UDP 의경우에는두번의실험을하였으며그결과 send 와 receive 모두 1920 Mbps 의성능을보여주고있어대부분이에러없이 send 와 receive 가되었으므로 bonding 드라이버의 UDP receive 성능은 send 시의성능과동일한 1920 Mbps 정도라고볼수있다. 즉리눅스의 bonding 드라이버는 UDP 의경우 send 와 receive 시둘다 1.9Gbps 이상의좋은성능을보이고있음을확인할수있다. 실험 A 에서 TCP 의성능이실험 D 에서의 73

8 성능에비해떨어지는것은스위치의 trunking 동작이정상적으로동작하지않았기때문이라고추정할수있다. 실험 C 와실험 D 는스위치를배제한호스트간의송수신능력을측정한결과로스위치의성능여부와상관없는 bonding 드라이버자체의성능을보여준다. 4. 결론및향후계획 본실험은리눅스커널 2.6 에포함되어 ELA 를지원하는리눅스 bonding 드라이버의기능과성능을테스트해보고문제가있다면문제의원인을밝히는것이목적이다. 실험에서는최대한스위치의기능과성능에따른영향을최소화하도록실험을진행하였다. 실험의결과를살펴보면 Ethernet link aggregation 기능을제공하는 mode-0 (roundrobin) 에서 TCP 와 UDP 모두충분한성능을발휘할수있다는것을확인할수있었다. 2 개의 gigabit port 를 bonding 했을경우 TCP 는 send 의경우 1.8Gbps, receive 의경우 1.5Gbps 의대역폭을보였으며 UDP 의경우 send 와 receive 모두 1.9Gbps 초반의대역폭을제공하였다. 이러한테스트결과를바탕으로리눅스커널 2.6 에서제공하는 bonding 드라이버의 link aggregation 은 UDP 의경우에는매우좋은선택일수있으며, TCP 의경우에도 send 를주로담당하는서버에서사용한다면효과적일수있을것이라는결론을내릴수있다. link aggregation 을사용할경우에는위의실험결과를참고하여환경을설정할때최상의효과를얻을수있을것이다. 향후과제로는본논문의실험에서다루지못한리눅스 bonding 드라이버의기타다른모드의성능측정이필요하며, 또한다수의네트워크인터페이스카드를사용함에따른성능의변화를측정하는 scalability 실험도필요하다. 정확한리눅스 bonding 드라이버의 scalability 를안다면실제로서버에이를적용하는경우에매우유용할것으로생각된다. 참고문헌 [1] Phillip Dykstra, High Performance Networking, on SuperComputinf 2002 in 18 November 2002 [2] Deepak Kakadia, Sam Halabi and Bill Cormier, Enterprise Network Design Patterns: High Availability, [3] Thomas Davis, Willy Tarreau, Constantine Garvrilov, Chad N. Tindel, Janice Girouard, Jay Vosburgh, Linux Ethernet Bonding Driver minihowto, [4] ISL and 802.1Q Trunking Between Catalyst Layer 2 Fixed Configuration Switches and CatOS Switches Configuration Example, [5] Duralink Port Aggregation Software, [6] SUN TRUNKIN 1.2, White papers in Sun Microsystems, [7] Link Aggregation according to IEEE Standard 802.3ad, White papers in SysKonnect GmbH, [8] Amendment to carrier sense multiple access with collision detection(csma/cd)access method and physical layer specification aggregation of multiple link segments, IEEE Std 802.3ad-2000 [9] Daniel Andersen and Zhao Baosong, Heterogeneous Channel Bonding on a Beowulf Cluster, In Proceeding of the 2000 International Conference on Parallel and Distributed Processing Techniques and Applications, pp , June [10] ethtool, project site, \ 김재열 1999 경북대학교전자공학과학사 2001 경북대학교전자공학과석사 2001 ~ 현재한국전자통신연구원, 선임연구원 < 관심분야 > 리눅스운영체제, 파일시스템, 시스템소프트웨어 강동재 1999 인하대학교전자계산학과학사 2001 인하대학교전자계산공학석사 2004 ~ 현재인하대학교컴퓨터정보공학박사과정 2001 ~ 현재한국전자통신연구원, 연구원 74

9 < 관심분야 > 데이터베이스, 네트워크연결형자료저장시스템, 원격시스템관리, 리눅스기반기술 김수영 2003 중앙대학교컴퓨터공학과학사 2005 한국과학기술원전산학과석사 2005 ~ 현재한국전자통신연구원, 연구원 < 관심분야 > 리눅스커널, 임베디드시스템소프트웨어 차규일 1998 고려대학교컴퓨터학과학사 2000 고려대학교컴퓨터학과석사 2000 ~ 현재한국전자통신연구원, 선임연구원 < 관심분야 > 운영체제성능개선, 시스템소프트웨어설계, 분산컴퓨팅 75

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

Microsoft Word - release note-VRRP_Korean.doc

Microsoft Word - release note-VRRP_Korean.doc VRRP (Virtual Router Redundancy Protocol) 기능추가 Category S/W Release Version Date General 7.01 22 Dec. 2003 Function Description VRRP 는여러대의라우터를그룹으로묶어하나의가상 IP 어드레스를부여해마스터로지정된라우터장애시 VRRP 그룹내의백업라우터가마스터로자동전환되는프로토콜입니다.

More information

Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University

Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University Outline Network Network 구조 Source-to-Destination 간 packet 전달과정 Packet Capturing Packet Capture 의원리 Data Link Layer 의동작 Wired LAN Environment

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

시스코 무선랜 설치운영 매뉴얼(AP1200s_v1.1)

시스코 무선랜 설치운영 매뉴얼(AP1200s_v1.1) [ Version 1.3 ] Access Point,. Access Point IP 10.0.0.1, Subnet Mask 255.255.255.224, DHCP Client. DHCP Server IP IP,, IP 10.0.0.X. (Tip: Auto Sensing Straight, Cross-over.) step 1]. step 2] LAN. step

More information

1. What is AX1 AX1 Program은 WIZnet 사의 Hardwired TCP/IP Chip인 iinchip 들의성능평가및 Test를위해제작된 Windows 기반의 PC Program이다. AX1은 Internet을통해 iinchip Evaluation

1. What is AX1 AX1 Program은 WIZnet 사의 Hardwired TCP/IP Chip인 iinchip 들의성능평가및 Test를위해제작된 Windows 기반의 PC Program이다. AX1은 Internet을통해 iinchip Evaluation 1. What is AX1 AX1 Program은 WIZnet 사의 Hardwired TCP/IP Chip인 iinchip 들의성능평가및 Test를위해제작된 Windows 기반의 PC Program이다. AX1은 Internet을통해 iinchip Evaluation Board(EVB B/D) 들과 TCP/IP Protocol로연결되며, 연결된 TCP/IP

More information

bn2019_2

bn2019_2 arp -a Packet Logging/Editing Decode Buffer Capture Driver Logging: permanent storage of packets for offline analysis Decode: packets must be decoded to human readable form. Buffer: packets must temporarily

More information

슬라이드 1

슬라이드 1 1 Chapter 3 기반기술 Objectives 유선이더넷에대한이해 무선 LAN 에대한이해 연결장치소개 2 목차 유선근거리통신망 무선 LAN 연결장치 3 3.1 근거리통신망 (LAN) 4 3.1 근거리통신망 (LAN) 아파트, 빌딩또는캠퍼스와같은제한된지역의독립적인장치들이서로통신할수있게하는데이터통신시스템 유선 LAN : 이더넷 전통적인이더넷 (10Mbps)

More information

[ 네트워크 1] 3 주차 1 차시. IPv4 주소클래스 3 주차 1 차시 IPv4 주소클래스 학습목표 1. IP 헤더필드의구성을파악하고요약하여설명할수있다. 2. Subnet ID 및 Subnet Mask 를설명할수있고, 각클래스의사용가능한호스트수와사설 IP 주소및네트

[ 네트워크 1] 3 주차 1 차시. IPv4 주소클래스 3 주차 1 차시 IPv4 주소클래스 학습목표 1. IP 헤더필드의구성을파악하고요약하여설명할수있다. 2. Subnet ID 및 Subnet Mask 를설명할수있고, 각클래스의사용가능한호스트수와사설 IP 주소및네트 3 주차 1 차시 IPv4 주소클래스 학습목표 1. IP 헤더필드의구성을파악하고요약하여설명할수있다. 2. Subnet ID 및 Subnet Mask 를설명할수있고, 각클래스의사용가능한호스트수와사설 IP 주소및네트워크주소와 브로드캐스트주소를설명할수있다. 학습내용 1 : IP 헤더필드구성 1. Network Layer Fields 2. IP 헤더필드의구성 1)

More information

TCP.IP.ppt

TCP.IP.ppt TCP/IP TCP/IP TCP/IP TCP/IP TCP/IP Internet Protocol _ IP Address Internet Protocol _ Subnet Mask Internet Protocol _ ARP(Address Resolution Protocol) Internet Protocol _ RARP(Reverse Address Resolution

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

<4D F736F F D20C5EBC7D5C7D8BCAEBDC3BDBAC5DB5F D2BC0C720424D54B0E1B0FABAB8B0EDBCAD2E646F63>

<4D F736F F D20C5EBC7D5C7D8BCAEBDC3BDBAC5DB5F D2BC0C720424D54B0E1B0FABAB8B0EDBCAD2E646F63> 통합해석시스템기반 STARCCM+ 의병렬계산성능 BMT 결과보고서 클루닉스 본자료는 클루닉스에서 CAE 해석 S/W(STARCCM+) 의병렬처리성능을측정한 BMT 결과보고서입니다. BMT 환경 : GridCenter-CAP, GridCenter-HPC BMT S/W : STARCCM+ BMT 진행 : 클루닉스 BMT 일자 : 2009년 08월 12일 ~2009년

More information

Microsoft PowerPoint - L4-7Switch기본교육자료.ppt

Microsoft PowerPoint - L4-7Switch기본교육자료.ppt L4-7 Switch 기본교육자료 Pumpkin Networks. Inc. http://www.pumpkinnet.co.kr (Tel) 02-3280-9380 (Fax) 02-3280-9382 info@pumpkinnet.co.kr 기본개념 L4/L7 Switch 란? -2- 기본개념 - Switching & Routing Switching & Routing

More information

IP 심화 라우팅프로토콜적용시 라우팅테이블에서 이니셜이있는네트워크를설정하는것 : onnected 직접연결된네트워크를의미한다. 그러므로라우팅은 나는이런네트워크와연결되어있다. 를직접연결된라우터들에게알려주는것 1>en 1#conf t 1(config)#router rip 1

IP 심화 라우팅프로토콜적용시 라우팅테이블에서 이니셜이있는네트워크를설정하는것 : onnected 직접연결된네트워크를의미한다. 그러므로라우팅은 나는이런네트워크와연결되어있다. 를직접연결된라우터들에게알려주는것 1>en 1#conf t 1(config)#router rip 1 IP 심화 º 각 P 의게이트웨이는해당네트워크의마지막주소를사용한다. - P1 (210.220.10.1/26) 의게이트웨이 (5의 Fa0/0) : 210.220.10.63 /26 = 255.255.255.192 호스트비트수 : 32-26 = 6 비트 => = 64 그러므로 P1의 IP 210.220.10.1 중서브넷마스크에의거 26비트는변함이없고, 나머지 6비트가호스트비트로변하므로

More information

Network seminar.key

Network seminar.key Intro to Network .. 2 4 ( ) ( ). ?!? ~! This is ~ ( ) /,,,???? TCP/IP Application Layer Transfer Layer Internet Layer Data Link Layer Physical Layer OSI 7 TCP/IP Application Layer Transfer Layer 3 4 Network

More information

<4D F736F F F696E74202D E20B3D7C6AEBFF6C5A920C7C1B7CEB1D7B7A1B9D62E >

<4D F736F F F696E74202D E20B3D7C6AEBFF6C5A920C7C1B7CEB1D7B7A1B9D62E > 웹프로그래밍및실습 ( g & Practice) 문양세강원대학교 IT 대학컴퓨터과학전공 소켓 (Socket) (1/2) Socket 이란? 서버와클라이언트가서로특정한규약을사용하여데이터를전송하기위한방식 서버와클라이언트는소켓연결을기다렸다가소켓이연결되면서로데이터를전송 현재네트워크상에서의모든통신의근간은 Socket 이라할수있음 Page 2 1 소켓 (Socket) (2/2)

More information

슬라이드 1

슬라이드 1 강력한성능! 인터넷 / 업무용데스크탑 PC NX-H Series Desktop PC NX1- H700/H800/H900 NX2- H700/H800/H900 NX1-H Series 사양 Series 제품설명 ( 모델명 ) NX1-H Series, 슬림타입 기본형모델중보급형모델고급형모델 NX1-H800:112SN NX1-H800:324SN NX1-H800:534MS

More information

Microsoft Word - NAT_1_.doc

Microsoft Word - NAT_1_.doc NAT(Network Address Translation) 1. NAT 개요 1 패킷의 IP 헤더의수신지주소, 발신지주소또는그주소를다른주소로변경하는과정 2 NAT기능을갖는장치를 NAT-BOX라함 ( 시스코라우터, 유닉스시스템, 윈도우의호스트혹은몇개의다른시스템일수있기때문에이렇게지칭하기도함 ) 3 NAT 기능을갖는장치는일반적으로스텁도메인 (Stub-domain)

More information

SLA QoS

SLA QoS SLA QoS 2002. 12. 13 Email: really97@postech.ac.kr QoS QoS SLA POS-SLMS (-Service Level Monitoring System) SLA (Service Level Agreement) SLA SLA TM Forum SLA QoS QoS SLA SLA QoS QoS SLA POS-SLMS ( Service

More information

The Pocket Guide to TCP/IP Sockets: C Version

The Pocket Guide to  TCP/IP Sockets: C Version 인터넷프로토콜 5 장 데이터송수신 (3) 1 파일전송메시지구성예제 ( 고정크기메시지 ) 전송방식 : 고정크기 ( 바이너리전송 ) 필요한전송정보 파일이름 ( 최대 255 자 => 255byte 의메모리공간필요 ) 파일크기 (4byte 의경우최대 4GB 크기의파일처리가능 ) 파일내용 ( 가변길이, 0~4GB 크기 ) 메시지구성 FileName (255bytes)

More information

Microsoft Word - DELL_PowerEdge_TM_ R710 서버 성능분석보고서.doc

Microsoft Word - DELL_PowerEdge_TM_ R710 서버 성능분석보고서.doc DELL PowerEdge R710 Server 성능분석보고서 본자료는 클루닉스에서자사통합시뮬레이션시스템구성제품인 GridCenter를이용하여 Dell PowerEdge R710 서버의성능을분석한보고서입니다. 클루닉스와 DELL의협의없이발췌및배포를금합니다. BMT 환경 : GridCenter-CAP, GridCenter-HPC, CAE 어플리케이션 Abaqus,Fluent,Gaussian

More information

Switching

Switching Switching 강의의목표 Switching/Switching Network의필요성을이해한다. 세가지대표적교환기술에열거하고그차이를설명할수있다. 각교환기술의장, 단점을비교하여설명할수있다. Packet Switching 에서 Fairness 문제와 Pipelining 을 패킷크기와연계하여설명할수있다. Soft Switch 개념을이해하고설명할수있다. 교재 Chapter

More information

Microsoft PowerPoint - 06-IPAddress [호환 모드]

Microsoft PowerPoint - 06-IPAddress [호환 모드] Chapter 06 IP Address IP Address Internet address IP 계층에서사용되는식별자 32 bit 2 진주소 The address space of IPv4 is 2 32 or 4,294,967,296 netid 와 hostid 로구분 인터넷에서호스트와라우터를유일하게구분 IP Address Structure 2-Layer Hierarchical

More information

Windows 8에서 BioStar 1 설치하기

Windows 8에서 BioStar 1 설치하기 / 콘텐츠 테이블... PC에 BioStar 1 설치 방법... Microsoft SQL Server 2012 Express 설치하기... Running SQL 2012 Express Studio... DBSetup.exe 설정하기... BioStar 서버와 클라이언트 시작하기... 1 1 2 2 6 7 1/11 BioStar 1, Windows 8 BioStar

More information

[Brochure] KOR_TunA

[Brochure] KOR_TunA LG CNS LG CNS APM (TunA) LG CNS APM (TunA) 어플리케이션의 성능 개선을 위한 직관적이고 심플한 APM 솔루션 APM 이란? Application Performance Management 란? 사용자 관점 그리고 비즈니스 관점에서 실제 서비스되고 있는 어플리케이션의 성능 관리 체계입니다. 이를 위해서는 신속한 장애 지점 파악 /

More information

네트워크통신연결방법 네트워크제품이통신을할때, 서로연결하는방법에대해설명합니다. FIRST EDITION

네트워크통신연결방법 네트워크제품이통신을할때, 서로연결하는방법에대해설명합니다. FIRST EDITION 네트워크제품이통신을할때, 서로연결하는방법에대해설명합니다. FIRST EDITION 05-2012 개요 개요 네트워크상에연결되어있는기기들이통신을할때, 어떻게목적지를찾아가는지 (IP 주소, 서브넷마스크, 게이트웨이 ) 어떻게데이터를보내는지 (UDP/TCP, ) 에대한내용을설명합니다. 네트워크설정에따른특징을이해하여, 제품이설치된네트워크환경에따라알맞은설정을하도록합니다.

More information

PowerPoint Presentation

PowerPoint Presentation 오에스아이소프트코리아세미나세미나 2012 Copyright Copyright 2012 OSIsoft, 2012 OSIsoft, LLC. LLC. PI Coresight and Mobility Presented by Daniel Kim REGIONAL 세미나 SEMINAR 세미나 2012 2012 2 Copyright Copyright 2012 OSIsoft,

More information

歯Cablexpert제안서.PDF

歯Cablexpert제안서.PDF : CableXpert TM TEL:02-576-0471 / E-mail : lab@dakos.net 1. (CableXpert TM :CME1100 ) (PSTN) 100,. (CableXpert TM ).,,.... : : 324-1 2 : 02-576-0471 : 02-576-0474 : (E-mail : jangpo@dakos.net) 3. 1) S/W

More information

1. 기술배경 NFV는 Consortium of Service Provider들에의해서만들어졌다. 현재 Network Operation은규모가큰전용 Hardware appliances가계속해서증가하고있다. 새로운 Network Service를 Launching할때마다에

1. 기술배경 NFV는 Consortium of Service Provider들에의해서만들어졌다. 현재 Network Operation은규모가큰전용 Hardware appliances가계속해서증가하고있다. 새로운 Network Service를 Launching할때마다에 Network Function Virtualization 기술동향 2013 년 7 월 29 일 경북대학교통신프로토콜연구실 김우주 kachukun@gmail.com 요약 오늘날네트워크기술은다양한분야에서널리쓰이고있다. 그에따라상황에맞춘전용기술이빠르게개발되고있으며그에필요한전문화된 Network Device들이증가하고있다. 하지만이런현상이가속화되면서전용 Network

More information

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 System call table and linkage v Ref. http://www.ibm.com/developerworks/linux/library/l-system-calls/ - 2 - Young-Jin Kim SYSCALL_DEFINE 함수

More information

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3 열차운행정보 승무원 확인시스템 구축 제 안 요 청 서 2014.6. 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3.시운전 및 하자보증 10

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

Microsoft PowerPoint ppt

Microsoft PowerPoint ppt Wireless LAN 최양희서울대학교컴퓨터공학부 Radio-Based Wireless LANs Most widely used method Adv: penetrating walls and other obstacles with little attenuation. Disadv: security, interference, etc. 3 approaches: ISM

More information

ARMBOOT 1

ARMBOOT 1 100% 2003222 : : : () PGPnet 1 (Sniffer) 1, 2,,, (Sniffer), (Sniffer),, (Expert) 3, (Dashboard), (Host Table), (Matrix), (ART, Application Response Time), (History), (Protocol Distribution), 1 (Select

More information

Microsoft PowerPoint - thesis_della_1220_final

Microsoft PowerPoint - thesis_della_1220_final 엔터프라이즈 IP 네트워크연결정보관리시스템설계및개발 2006. 12. 20 김은희 분산처리및네트워크관리연구실포항공과대학교정보통신대학원정보통신학과 della@postech.ac.kr 목차 1. 서론 2. 관련연구 3. 시스템요구사항 4. 시스템설계 5. 구현 : POSTECH 네트워크에서의실험결과 6. 결론및향후과제 (2) 서론 목적 네트워크장비들간의연결구성을자동으로탐지하는

More information

Cloud Friendly System Architecture

Cloud Friendly System Architecture -Service Clients Administrator 1. -Service 구성도 : ( 좌측참고 ) LB(LoadBlancer) 2. -Service 개요 ucloud Virtual Router F/W Monitoring 개념 특징 적용가능분야 Server, WAS, DB 로구성되어 web service 를클라우드환경에서제공하기위한 service architecture

More information

USB USB DV25 DV25 REC SRN-475S REC SRN-475S LAN POWER LAN POWER Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl C

USB USB DV25 DV25 REC SRN-475S REC SRN-475S LAN POWER LAN POWER Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl C USB USB DV25 DV25 REC SRN-475S REC SRN-475S LAN POWER LAN POWER Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC Step 1~5. Step, PC, DVR Step 1. Cable Step

More information

Microsoft PowerPoint - Lecture_Note_5.ppt [Compatibility Mode]

Microsoft PowerPoint - Lecture_Note_5.ppt [Compatibility Mode] TCP Server/Client Department of Computer Engineering Kyung Hee University. Choong Seon Hong 1 TCP Server Program Procedure TCP Server socket() bind() 소켓생성 소켓번호와소켓주소의결합 listen() accept() read() 서비스처리, write()

More information

온라인등록용 메뉴얼

온라인등록용 메뉴얼 WIZPLAT Corporation User Manual Gigabit LAN Port + USB3.0 HUB 사용자 설명서 이번에는 Gigabit LAN Port + USB3.0 HUB 를 구입해 주셔서 대단히 감사합니다. 이 사용설명서에는 중요한 주의 사항과 제품의 취급방법이 설명되어 있습니다. 사용하기 전에 설명서를 잘 읽어 보신 후 본 제품을 바르고

More information

HLS(HTTP Live Streaming) 이용가이드 1. HLS 소개 Apple iphone, ipad, ipod의운영체제인 ios에서사용하는표준 HTTP 기반스트리밍프로토콜입니다. 2. HLS 지원대상 - 디바이스 : iphone/ipad/ipod - 운영체제 :

HLS(HTTP Live Streaming) 이용가이드 1. HLS 소개 Apple iphone, ipad, ipod의운영체제인 ios에서사용하는표준 HTTP 기반스트리밍프로토콜입니다. 2. HLS 지원대상 - 디바이스 : iphone/ipad/ipod - 운영체제 : HLS(HTTP Live Streaming) 이용가이드 1. HLS 소개 Apple iphone, ipad, ipod의운영체제인 ios에서사용하는표준 HTTP 기반스트리밍프로토콜입니다. 2. HLS 지원대상 - 디바이스 : iphone/ipad/ipod - 운영체제 : ios 3.0 이상 - 콘텐츠형식 : MP4 (H264,AAC ), MP3 * 디바이스별해상도,

More information

슬라이드 1

슬라이드 1 www.altsoft.co.kr www.clunix.com COMSOL4.0a Cluster 성능테스트 2010 년 10 월 클루닉스 / 알트소프트 개요 개요 목차 BMT 환경정보 BMT 시나리오소개 COMSOL4.0a MPP 해석실행조건 BMT 결과 COMSOL4.0a 클러스터분석결과 ( 메모리 / 성능 ) COMSOL4.0a 클러스터최종분석결과 -2- 개요

More information

The Pocket Guide to TCP/IP Sockets: C Version

The Pocket Guide to  TCP/IP Sockets: C Version 얇지만얇지않은 TCP/IP 소켓프로그래밍 C 2 판 4 장 UDP 소켓 제 4 장 UDP 소켓 4.1 UDP 클라이언트 4.2 UDP 서버 4.3 UDP 소켓을이용한데이터송싞및수싞 4.4 UDP 소켓의연결 UDP 소켓의특징 UDP 소켓의특성 싞뢰할수없는데이터젂송방식 목적지에정확하게젂송된다는보장이없음. 별도의처리필요 비연결지향적, 순서바뀌는것이가능 흐름제어 (flow

More information

슬라이드 1

슬라이드 1 TCPdump 사용법 Neworks, Inc. (Tel) 070-7101-9382 (Fax) 02-2109-6675 ech@pumpkinne.com hp://www.pumpkinne.co.kr TCPDUMP Tcpdump 옵션 ARP 정보 ICMP 정보 ARP + ICMP 정보 IP 대역별정보 Source 및 Desinaion 대역별정보 Syn 과 syn-ack

More information

chapter4

chapter4 Basic Netw rk 1. ก ก ก 2. 3. ก ก 4. ก 2 1. 2. 3. 4. ก 5. ก 6. ก ก 7. ก 3 ก ก ก ก (Mainframe) ก ก ก ก (Terminal) ก ก ก ก ก ก ก ก 4 ก (Dumb Terminal) ก ก ก ก Mainframe ก CPU ก ก ก ก 5 ก ก ก ก ก ก ก ก ก ก

More information

일반적인 네트워크의 구성은 다음과 같다

일반적인 네트워크의 구성은 다음과 같다 W5200 Errata Sheet Document History Ver 1.0.0 (Feb. 23, 2012) First release (erratum 1) Ver 1.0.1 (Mar. 28, 2012) Add a solution for erratum 1, 2 Ver 1.0.2 (Apr. 03, 2012) Add a solution for erratum 3

More information

vm-웨어-앞부속

vm-웨어-앞부속 VMware vsphere 4 This document was created using the official VMware icon and diagram library. Copyright 2009 VMware, Inc. All rights reserved. This product is protected by U.S. and international copyright

More information

게시판 스팸 실시간 차단 시스템

게시판 스팸 실시간 차단 시스템 오픈 API 2014. 11-1 - 목 차 1. 스팸지수측정요청프로토콜 3 1.1 스팸지수측정요청프로토콜개요 3 1.2 스팸지수측정요청방법 3 2. 게시판스팸차단도구오픈 API 활용 5 2.1 PHP 5 2.1.1 차단도구오픈 API 적용방법 5 2.1.2 차단도구오픈 API 스팸지수측정요청 5 2.1.3 차단도구오픈 API 스팸지수측정결과값 5 2.2 JSP

More information

() Aloha Netowrk ether(,, )network Ehternet, DEC, ( DIX(DEC, Intel, Xerox) IEEE(, ) 5 9,, (Xerox) (Bob Metcalfe), (, ) A

() Aloha Netowrk ether(,, )network Ehternet, DEC, ( DIX(DEC, Intel, Xerox) IEEE(,   ) 5 9,, (Xerox) (Bob Metcalfe), (, ) A 1 2 3 4 1960 1973 () Aloha Netowrk ether(,, )network Ehternet, DEC, ( DIX(DEC, Intel, Xerox) IEEE(, http://wwwieeeorg/, ) 5 9,, (Xerox) (Bob Metcalfe), (, ) Aloha Network 1960 / IEEE CSMA/CD IEEE () 30

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

Microsoft PowerPoint - 04-UDP Programming.ppt

Microsoft PowerPoint - 04-UDP Programming.ppt Chapter 4. UDP Dongwon Jeong djeong@kunsan.ac.kr http://ist.kunsan.ac.kr/ Dept. of Informatics & Statistics 목차 UDP 1 1 UDP 개념 자바 UDP 프로그램작성 클라이언트와서버모두 DatagramSocket 클래스로생성 상호간통신은 DatagramPacket 클래스를이용하여

More information

vm-웨어-01장

vm-웨어-01장 Chapter 16 21 (Agenda). (Green),., 2010. IT IT. IT 2007 3.1% 2030 11.1%, IT 2007 1.1.% 2030 4.7%, 2020 4 IT. 1 IT, IT. (Virtualization),. 2009 /IT 2010 10 2. 6 2008. 1970 MIT IBM (Mainframe), x86 1. (http

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Spider For MySQL 실전사용기 피망플러스유닛최윤묵 Spider For MySQL Data Sharding By Spider Storage Engine http://spiderformysql.com/ 성능 8 만 / 분 X 4 대 32 만 / 분 많은 DB 중에왜 spider 를? Source: 클라우드컴퓨팅구 선택의기로 Consistency RDBMS

More information

Microsoft Word - [TP_3][T1]UTP.docx

Microsoft Word - [TP_3][T1]UTP.docx Unit Testing Plan for Point Of Sale System Test Plan Test Design Specification Test Cases Specification Project Team Team 1 Date 2017-11-03 Team Information 201211337 김재현 201112052 방민석 201312259 백만일 201211383

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

Microsoft PowerPoint - 2.Catalyst Switch Intrastructure Protection_이충용_V1 0.ppt [호환 모드]

Microsoft PowerPoint - 2.Catalyst Switch Intrastructure Protection_이충용_V1 0.ppt [호환 모드] Catalyst Switch Infrastructure Protection Cisco Systems Korea SE 이충용 (choolee@cisco.com) Overview DoS (Denial of Service) 공격대상 - Server Resource - Network Resource - Network devices (Routers, Firewalls

More information

슬라이드 제목 없음

슬라이드 제목 없음 2006-09-27 경북대학교컴퓨터공학과 1 제 5 장서브넷팅과슈퍼넷팅 서브넷팅 (subnetting) 슈퍼넷팅 (Supernetting) 2006-09-27 경북대학교컴퓨터공학과 2 서브넷팅과슈퍼넷팅 서브넷팅 (subnetting) 하나의네트워크를여러개의서브넷 (subnet) 으로분할 슈퍼넷팅 (supernetting) 여러개의서브넷주소를결합 The idea

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

Appendix B

Appendix B ABAQUS-Explicit AMD8350 vs Xeon5420 성능비교분석 본자료는 클루닉스에서자사시뮬레이션포털구성제품인 GridCenter를통해 KAIST SSSLAB 시스템을대상으로측정한 ABAQUS BMT한결과자료입니다. 본사의허가없이는무단배포및기타인용을금합니다. 테스트환경 : GridCenter-CAP, GridCenter-HPC, CAE 어플리케이션

More information

3.Bladesystem

3.Bladesystem HP BladeSystem HP BladeSystem HP, c7000 1/2 c3000. 8 SMB 3 HP. HP ProLiant BL460c G6/BL490c G6 HP ProLiant BL460c G6 HP ProLiant BL490c G6 1. HP ProLiant BL460c G6/BL490c G6, HP ProLiant BL460c G6/BL490c

More information

Microsoft PowerPoint - 권장 사양

Microsoft PowerPoint - 권장 사양 Autodesk 제품컴퓨터사양 PRONETSOFT.CO 박경현 1 AutoCAD 시스템사양 시스템요구사양 32 비트 AutoCAD 2009 를위한시스템요구사항 Intel Pentium 4 프로세서 2.2GHz 이상, 또는 Intel 또는 AMD 듀얼 코어프로세서 16GH 1.6GHz 이상 Microsoft Windows Vista, Windows XP Home

More information

untitled

untitled (Rev. 1.6) 1 1. MagicLAN.......8 1.1............8 1.2........8 1.3 MagicLAN.......10 2.........12 2.1.... 12 2.2 12 2.3....12 3. Windows 98SE/ME/2000/XP......13 3.1.....13 3.2 Windows 98SE.... 13 3.3 Windows

More information

Microsoft PowerPoint - 02_Linux_Fedora_Core_8_Vmware_Installation [호환 모드]

Microsoft PowerPoint - 02_Linux_Fedora_Core_8_Vmware_Installation [호환 모드] 리눅스 설치 Vmware를 이용한 Fedora Core 8 설치 소프트웨어실습 1 Contents 가상 머신 실습 환경 구축 Fedora Core 8 설치 가상 머신 가상 머신 가상 머신의 개념 VMware의 설치 VMware : 가상 머신 생성 VMware의 특징 실습 환경 구축 실습 환경 구축 Fedora Core 8 설치 가상 머신의 개념 가상 머신 (Virtual

More information

Nordic Chipset BLE Test Application Note

Nordic Chipset BLE Test Application Note Nordic Chipset BLE Test Application Note 20151218 차례 차례........................................................................... ii 1. Nordic nrf52 Series 제품테스트방법...............................................

More information

1. 제품 개요 AhnLab Policy Center 4.6 for Windows(이하 TOE)는 관리대상 클라이언트 시스템에 설치된 안랩의 안티바이러스 제품인 V3 제품군에 대해 보안정책 설정 및 모니터링 등의 기능을 제공하여 관리대상 클라이언트 시스템에 설치된 V3

1. 제품 개요 AhnLab Policy Center 4.6 for Windows(이하 TOE)는 관리대상 클라이언트 시스템에 설치된 안랩의 안티바이러스 제품인 V3 제품군에 대해 보안정책 설정 및 모니터링 등의 기능을 제공하여 관리대상 클라이언트 시스템에 설치된 V3 CR-15-59 AhnLab Policy Center 4.6 for Windows 인증보고서 인증번호 : ISIS-0631-2015 2015년 7월 IT보안인증사무국 1. 제품 개요 AhnLab Policy Center 4.6 for Windows(이하 TOE)는 관리대상 클라이언트 시스템에 설치된 안랩의 안티바이러스 제품인 V3 제품군에 대해 보안정책 설정

More information

Microsoft Word - How to make a ZigBee Network_kr

Microsoft Word - How to make a ZigBee Network_kr 1 단계 ZigBee 네트워크설정방법 이보기는 ProBee 기기를이용해 ZigBee 네트워크를설정하는방법을보여줍니다. 2 단계 이보기에서사용된 SENA 제품입니다 : ProBee ZE10 Starter Kit ProBee ZS10 ProBee ZU10 3 단계 ZigBee 네트워크입니다. SE1 SE2 SE3 ZS10 ZS10 ZS10 R4 R5 R3 R1

More information

Samsung SDS Enterprise Cloud Networking CDN Load Balancer WAN

Samsung SDS Enterprise Cloud Networking CDN Load Balancer WAN Samsung SDS Enterprise Cloud Networking CDN Load Balancer WAN Enterprise Cloud Networking CDN (Content Delivery Network) 전 세계에 배치된 콘텐츠 서버를 통해 빠른 전송을 지원하는 서비스 전 세계에 전진 배치된 CDN 서버를 통해 사용자가 요청한 콘텐츠를 캐싱하여

More information

<4D F736F F D F5357BAB05FC5EBC7D5C7D8BCAEBDC3BDBAC5DB5FBCBAB4C920BAD0BCAE20B0E1B0FABAB8B0EDBCAD F444C F767

<4D F736F F D F5357BAB05FC5EBC7D5C7D8BCAEBDC3BDBAC5DB5FBCBAB4C920BAD0BCAE20B0E1B0FABAB8B0EDBCAD F444C F767 CAE S/W 별통합해석시스템성능분석결과보고서 HP DL38G6 vs DELL R71 성능비교분석 클루닉스 본자료는최신 Intel Processor Architecture인 Nehalem CPU 기반에서 CAE 해석 S/W에대한성능분석보고서입니다. 클루닉스의통합해석시스템구성제품인 GridCenter-CAP을이용하여테스트되었으며, 클루닉스의허가없이복사나배포를금지합니다.

More information

슬라이드 제목 없음

슬라이드 제목 없음 2006-11-02 경북대학교컴퓨터공학과 1 제 8 장인터넷프로토콜 : IP 데이터그램 단편화 검사합 옵션 IP 설계 IP 프로토콜 2006-11-02 경북대학교컴퓨터공학과 2 2006-11-02 경북대학교컴퓨터공학과 3 네트워크계층프로토콜 IP (Internet Protocol) TCP/UDP 세그먼트를받아서패킷별로경로선택 ICMP (Internet Control

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 공개 SW 솔루션설치 & 활용가이드 시스템 SW > 가상화 제대로배워보자 How to Use Open Source Software Open Source Software Installation & Application Guide CONTENTS 1. 개요 2. 기능요약 3. 실행환경 4. 설치및실행 5. 기능소개 6. 활용예제 7. FAQ 8. 용어정리 - 3-1.

More information

<4D F736F F F696E74202D FB5A5C0CCC5CDC5EBBDC5B0FA20B3D7C6AEBFF6C5A9205BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D FB5A5C0CCC5CDC5EBBDC5B0FA20B3D7C6AEBFF6C5A9205BC8A3C8AF20B8F0B5E55D> 2011 년봄학기데이터통신 Chapter 01 데이터통신과네트워크 1 순서 1. 데이터통신과네트워크의개념 2. 컴퓨터네트워크의유형 3. 네트워크표준화 4. 인터넷표준화활동 5. 유무선네트워크의발전및진화 Copyright(c)2011 by Hyun-Ho Choi 2 Section 01 데이터통신과네트워크의개념 데이터통신 (Data Communication) 두개이상의통신장치사이에서전송미디어

More information

USER Manual

USER Manual KOR V1.3 AltPLC 6637 Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel i7-3610qe 2.3GHz - Intel i5-3610me 2.7GHz Memory - 1 x DDR3 1066/1333MHz SODIMM,

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

OSI 참조 모델과 TCP/IP

OSI 참조 모델과 TCP/IP TCP/IP 프로토콜분석및네트워크프로그래밍 Chapter 1: OSI 참조모델과 TCP/IP 2003. 3. 1 프로토콜 (Protocol) 표준화된통신규약 장치간의정보를송수신하기위한협정 무전기의예 Over: 송신완료통지 Roger: 수신완료통지 제 1 장 OSI 참조모델과 TCP/IP 2 OSI 참조모델 목표 이기종컴퓨터간에도통신이가능한개방형시스템 상호접속모델제시

More information

UDP Flooding Attack 공격과 방어

UDP Flooding Attack 공격과 방어 황 교 국 (fullc0de@gmail.com) SK Infosec Co., Inc MSS Biz. Security Center Table of Contents 1. 소개...3 2. 공격 관련 Protocols Overview...3 2.1. UDP Protocol...3 2.2. ICMP Protocol...4 3. UDP Flood Test Environment...5

More information

Sena Device Server Serial/IP TM Version

Sena Device Server Serial/IP TM Version Sena Device Server Serial/IP TM Version 1.0.0 2005. 3. 7. Release Note Revision Date Name Description V1.0.0 2005-03-7 HJ Jeon Serial/IP 4.3.2 ( ) 210 137-130, : (02) 573-5422 : (02) 573-7710 email: support@sena.com

More information

TTA Verified : HomeGateway :, : (NEtwork Testing Team)

TTA Verified : HomeGateway :, : (NEtwork Testing Team) TTA Verified : HomeGateway :, : (NEtwork Testing Team) : TTA-V-N-05-006-CC11 TTA Verified :2006 6 27 : 01 : 2005 7 18 : 2/15 00 01 2005 7 18 2006 6 27 6 7 9 Ethernet (VLAN, QoS, FTP ) (, ) : TTA-V-N-05-006-CC11

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Network Programming Jo, Heeseung Network 실습 네트워크프로그래밍 멀리떨어져있는호스트들이서로데이터를주고받을수있도록프로그램을구현하는것 파일과는달리데이터를주고받을대상이멀리떨어져있기때문에소프트웨어차원에서호스트들간에연결을해주는장치가필요 이러한기능을해주는장치로소켓이라는인터페이스를많이사용 소켓프로그래밍이란용어와네트워크프로그래밍이랑용어가같은의미로사용

More information

Microsoft Word - src.doc

Microsoft Word - src.doc IPTV 서비스탐색및콘텐츠가이드 RI 시스템운용매뉴얼 목차 1. 서버설정방법... 5 1.1. 서비스탐색서버설정... 5 1.2. 컨텐츠가이드서버설정... 6 2. 서버운용방법... 7 2.1. 서비스탐색서버운용... 7 2.1.1. 서비스가이드서버실행... 7 2.1.2. 서비스가이드정보확인... 8 2.1.3. 서비스가이드정보추가... 9 2.1.4. 서비스가이드정보삭제...

More information

Microsoft PowerPoint _TCP_IP

Microsoft PowerPoint _TCP_IP 네트워크 2007 년상반기 1 의개념 (ransmission Control Protocol / Internet Protocol) -는네트워크를상호연결시켜정보를전송할수있도록하는기능을가진다수의 프로토콜이모여있는프로토콜집합임. - 의가장대표적인프로토콜은 3 계층의 IP 와 4 계층의 CP 로대부분의응용서비스가 CP 상 에서이루어지나, 최근인터넷의단점을보완하기위해

More information

PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS (

PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS ( PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS (http://ddns.hanwha-security.com) Step 1~5. Step, PC, DVR Step 1. Cable Step

More information

PowerPoint Presentation

PowerPoint Presentation Data Protection Rapid Recovery x86 DR Agent based Backup - Physical Machine - Virtual Machine - Cluster Agentless Backup - VMware ESXi Deploy Agents - Windows - AD, ESXi Restore Machine - Live Recovery

More information

Microsoft PowerPoint - 4.스캐닝-1(11.08) [호환 모드]

Microsoft PowerPoint - 4.스캐닝-1(11.08) [호환 모드] 정보보호 Scanning (1) 목차 Ⅳ. 스캐닝 (Scanning) 1. 활성화된호스트식별 ping 침투테스트범위에있는 IP 주소만목록화 현재동작중인시스템확인 Ping - ICMP(Internet Control messaging Protocol) 패킷을사용 - echo request, echo reply 패킷 - target 시스템이 off상태이거나, ICMP패킷을차단하는경우

More information

Microsoft PowerPoint - 6.pptx

Microsoft PowerPoint - 6.pptx DB 암호화업데이트 2011. 3. 15 KIM SUNGJIN ( 주 ) 비에이솔루션즈 1 IBM iseries 암호화구현방안 목차 목 차 정부시책및방향 제정안특이사항 기술적보호조치기준고시 암호화구현방안 암호화적용구조 DB 암호화 Performance Test 결과 암호화적용구조제안 [ 하이브리드방식 ] 2 IBM iseries 암호화구현방안 정부시책및방향

More information

디지털TV솔루션 브로셔

디지털TV솔루션 브로셔 개요 [ADC] [DDC] [DAC] [VSC] 영상 / 음성 변환및압축 Ethernet Stream 전송및전시 저장및재생 입력 - SD 급영상동시 4CH - 디지털영상동시 2CH - Analog Audio 동시 2CH 영상 : H.264 압축 음성 : PCM 16bit HW 방식 Encoding 지원 Gigabit 이더넷전송 / 수신 낮은지연시간 ( 최대

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

歯최덕재.PDF

歯최덕재.PDF ISP Monitoring Tool OSPF SNMP, Metric MIB OSPFECMP 1 11 [6], Metric ISP(Internet Service Provider) Monitoring Tool, [5] , (Network Management System) SNMP ECMP Cost OSPF ECMP IGP(Interior Gateway Protocol)

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 1x1 Fiber Tap Visibility, comfortable, stealth and security 개요 Features 네트워크다운타임없이 24/7 모니터링을가능하게해줌 모든레이어로부터의모든트래픽 ( 에러포함 ) 을통과시켜포괄적인 troubleshooting 을할수있음 메이저제조사의모니터링디바이스 프로토콜분석기, RMON Probe, IDS/IPS

More information

슬라이드 1

슬라이드 1 1 Chapter 9 ICMPv4 Objectives ICMP 의필요성 ICMP 메시지종류 오류보고메시지의목적과형식 질의메시지의목적과형식 ICMP 에서의검사합계산 ICMP 를사용하는디버깅도구들 ICMP 패키지의구성요소및모듈 2 목차 개요 메시지 디버깅 ICMP 패키지 3 9.1 개요 IP 프로토콜의문제점 신뢰성이없고비연결형데이터그램전달제공 최선의노력전달서비스

More information

본교재는수업용으로제작된게시물입니다. 영리목적으로사용할경우저작권법제 30 조항에의거법적처벌을받을수있습니다. [ 실습 ] 스위치장비초기화 1. NVRAM 에저장되어있는 'startup-config' 파일이있다면, 삭제를실시한다. SWx>enable SWx#erase sta

본교재는수업용으로제작된게시물입니다. 영리목적으로사용할경우저작권법제 30 조항에의거법적처벌을받을수있습니다. [ 실습 ] 스위치장비초기화 1. NVRAM 에저장되어있는 'startup-config' 파일이있다면, 삭제를실시한다. SWx>enable SWx#erase sta [ 실습 ] 스위치장비초기화 1. NVRAM 에저장되어있는 'startup-config' 파일이있다면, 삭제를실시한다. SWx>enable SWx#erase startup-config Erasing the nvram filesystem will remove all configuration files Continue? [confirm] ( 엔터 ) [OK] Erase

More information

4.18.국가직 9급_전산직_컴퓨터일반_손경희_ver.1.hwp

4.18.국가직 9급_전산직_컴퓨터일반_손경희_ver.1.hwp 2015년도 국가직 9급 컴퓨터 일반 문 1. 시스템 소프트웨어에 포함되지 않는 것은? 1 1 스프레드시트(spreadsheet) 2 로더(loader) 3 링커(linker) 4 운영체제(operating system) - 시스템 소프트웨어 : 운영체제, 데이터베이스관리 프로그램,, 컴파일러, 링커, 로더, 유틸리티 소프트웨 어 등 - 스프레드시트 : 일상

More information

1.LAN의 특징과 각종 방식

1.LAN의 특징과 각종 방식 0 Chapter 1. LAN I. LAN 1. - - - - Switching - 2. LAN - (Topology) - (Cable) - - 2.1 1) / LAN - - (point to point) 2) LAN - 3) LAN - 2.2 1) Bound - - (Twisted Pair) - (Coaxial cable) - (Fiber Optics) 1

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information