Microsoft PowerPoint - 30.ppt [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - 30.ppt [호환 모드]"

Transcription

1 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호

2 Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST Architecture PMBIST Implementation Performance Comparison Conclusion 2

3 Introduction Introduction 최근대용량내장메모리탑재증가 반도체기술의발달 SoC(System on Chip) 내부에내장메모리가 90% 이상을차지함 차세대기술의초기수율저조 메모리불량에기인한전체기기의비정상적동작초래 내장된자체메모리테스트 (Built-In Self-Test) 생산성극대화와수율향상 적시제품출하를통한시장선점효과를뒷받침 시스템반도체산업에미치는리스크를최소화 3

4 Introduction Introduction Memory BIST 고정된 test pattern 과 test sequence 를이용 Fault coverage 가제한적임 Flexibility 가좋지않음 사용하는 test algorithm 이제한적임 Programming capability 가낮음 Programmable Memory BIST Test pattern 과 test sequence 변경가능 Flexibility가좋음 Fault coverage 가높음 다양한 test algorithm을지원 Programming capability가높음 Area overhead 가크다는단점 4

5 PMBIST Programmable Memory BIST 이중포트메모리를위한 Programmable Memory BIST(PMBIST) Micro code 방식의 PMBIST 다양한테스트알고리즘지원 March 테스트알고리즘 이중포트메모리테스트알고리즘 User defined 테스트알고리즘 다수의테스트알고리즘을내장하여높은고장검출률확보 하드웨어오버헤드최소화 최소의 instruction 으로알고리즘구현 효과적으로주소를생성하는주소생성기 5

6 PMBIST Algorithm Instruction ti Algorithm Instruction 모든 test algorithm을최소의 bits으로구현하기위한최적의구조 (9bits) 각 March 요소당하나의 instruction으로구현 ex) March C- (10N) : 10개의 instruction으로구현 PMBIST Algorithm Instruction Set Instruction Set Inst[8:7] Inst[6] Inst[5] Inst[4] Inst[3] Inst[2] Inst[1:0] Function Opcode Hold/ A port A Port B port B Port Address Increment/ Background Memory Background Memory Up/Down Branch True/Inv Operation True/Inv Operation Control 00 Hold 0 Up 0 True 0 Read 0 True 0 Read 00 NOP 01 Increment 1 Down 1 Inversion 1 Write 1 Inversion 1 Write 01 row+1 10 Branch 10 column+1 11 Terminate 6

7 PMBIST Algorithm Instruction Algorithm Instruction 구조 Hold/Increment(Inst[8:7]) : 알고리즘을구현하기위한알고리즘명령어의동작상태를지정 Hold 는현재의알고리즘명령어를계속실행 Increment는다음알고리즘명령어를실행 Branch는지정된알고리즘명령어로점프 Address Up/Down(Inst[6]) : 알고리즘 sequence 의주소증가 / 감소방향지정 A port Background True/Inv.(Inst[5]) : A port의 background data를반전유무를결정 A port Memory operation(inst[4]) : 테스트알고리즘에서 Aport 와관련된 sequence의읽기 / 쓰기동작명령을지정 B port Background True/Inv.(Inst[3]) : B port의 background data를반전유무를결정 B port Memory operation(inst[2]) : 테스트알고리즘에서 B port와관련된 sequence의읽기 / 쓰기동작명령을지정 Control(Inst[1:0]) : 알고리즘명령어의옵션을지정 ex) Inst[1:0]=01 : 주소생성기옵션 row+1 의주소를생성 7

8 PMBIST PMBIST Architecture t PMBIST Architecture 8

9 PMBIST PMBIST Architecture PMBIST 구조의구성요소 명령어메모리 : 제안한 algorithm instruction 형태로구현된테스트알고리즘의 instruction 을저장 PMBIST 컨트롤러 : PMBIST를제어 명령어카운터 : 명령어메모리를제어하여실행할 instruction을선택 디코더 : instruction 을 decode 주소생성기 : 메모리에인가되는주소를생성 데이터생성기 : 메모리에인가되는데이터패턴을생성 제어신호생성기 : 메모리에인가되는제어신호를생성 비교기 : 메모리로부터읽은값과기대값을비교하여고장의유무를판별 9

10 PMBIST Implementation Implementation PMBIST 구조시뮬레이션검증 March C-, March A, March s2pf 알고리즘을내장 Instruction size = algorithm instruction(9bits) * 41 line 대상메모리 size : data size(8bits), address size(10bits) March C- Algorithm 시뮬레이션결과 10

11 PMBIST Implementation Implementation PMBIST 구조합성검증 1 개알고리즘 : March C+(14N) 3 개알고리즘 : March C-, March A, March s2pf 공정라이브러리 : TSMC 0.13μm 메모리사이즈 : 16K*16 합성결과 명령어비트하드웨어오버헤드최대동작속도 1 개알고리즘 126 bits 5,237 gates 3 개알고리즘 369 bits 9,835 gates 400MHz 2-input NAND gate 기준 11

12 Performance Comparison Performance Comparison PMBIST 구조합성검증 March C+ 알고리즘을기준으로비교 메모리사이즈 : 16K*16 성능비교 ITC 2003 ITC 2005 ATS 2006 PMBIST 단일포트메모리테스트 Y Y Y Y 이중포트메모리테스트 N N N Y 단일포트메모리를위한 March 알고리즘 Y Y Y Y 이중포트메모리를위한 March 알고리즘 N N N Y 이중포트메모리테스트알고리즘 N N N Y 명령어사이즈 (bits) March C+ 를위한명령어 bit (bits) 하드웨어오버헤드 (gates) 7.9K 8.9K 12.4K 5.2K 12

13 Conclusion Conclusion 이중포트메모리의신뢰성확보 March 및이중포트메모리테스트알고리즘을지원 높은 flexibility 높은고장검출률 March 기반의 user-defined 알고리즘지원 다양한테스트알고리즘지원이가능하여다양한고장에효과적대응가능 최적의 algorithm instruction 을사용하여최소의 bits 으로알고리즘을구현 최소의하드웨어오버헤드 13

14 감사합니다 hipyk@soc.yonsei.ac.kr

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2012 년 8 월전자공학회논문지제 49 권 SD 편제 8 호 55 Journal of The Institute of Electronics Engineers of Korea Vol. 49-SD, NO. 8, August 2012 논문 2012-49SD-8-9 이중포트메모리를위한효율적인프로그램가능한메모리 BIST (An Efficient Programmable

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 114 IEEE 1500 표준기반의효율적인프로그램가능한메모리 BIST 박영규외 논문 2013-50-2-14 IEEE 1500 표준기반의 효율적인프로그램가능한메모리 BIST ( IEEE std. 1500 based an Efficient Programmable Memory BIST ) 박영규 *, 최인혁 *, 강성호 ** * (Youngkyu Park, Inhyuk

More information

intro

intro Contents Introduction Contents Contents / Contents / Contents / Contents / 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

CUDA Programming Tutorial 2 - Memory Management – Matrix Transpose

CUDA Programming Tutorial 2 - Memory Management – Matrix Transpose CUDA Programming Tutorial 2 Memory Management Matrix Transpose Sungjoo Ha April 20th, 2017 Sungjoo Ha 1 / 29 Memory Management 병렬연산장치를활용하기위해하드웨어구조의이해를바탕에둔메모리활용이필요 CUDA 프로그래밍을하며알아야하는두가지메모리특성을소개 전치행렬계산을예제로

More information

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - o8.pptx 메모리보호 (Memory Protection) 메모리보호를위해 page table entry에 protection bit와 valid bit 추가 Protection bits read-write / read-only / executable-only 정의 page 단위의 memory protection 제공 Valid bit (or valid-invalid bit)

More information

자식농사웹완

자식농사웹완 윤 영 선 _ 지음 은혜한의원 서울시 마포구 도화1동 550 삼성프라자 308호 Tel : 3272.0120, 702.0120 진료시간 : 오전 9시 30분`~`오후 7시 점심시간 : 오후 1시`~`2시 토 요 일 : 오전 9시 30분`~`오후 3시 (일, 공휴일 휴진`/`전화로 진료 예약 받습니다) 은 혜 한 의 원 은혜한의원 CONTENTS 02 04 07

More information

http://www.forest.go.kr 5 2013~2017 Contents 07 08 10 19 20 30 33 34 38 39 40 44 45 47 49 51 52 53 53 57 63 67 Contents 72 75 76 77 77 82 88 93 95 96 97 97 103 109 115 121 123 124 125 125 129 132 137

More information

..........-....33

..........-....33 04 06 12 14 16 18 20 22 24 26 Contents 34 38 42 46 50 54 58 62 66 70 74 78 84 88 90 92 94 96 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 01 26 27 02 28 29 30 31 32 33 34 35 36 37 38 39

More information

chungo_story_2013.pdf

chungo_story_2013.pdf Contents 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63 65 67 69 71 73 75 77 79 81 83 85 87 89 91 93 95 97 99

More information

Contents 12 13 15 17 70 79 103 107 20 21 24 29 128 137 141 32 34 36 41 46 47 53 55 174 189 230 240 58 61 64 1. 1. 1 2 3 4 2. 2. 2 1 3 4 3. 3. 1 2 3 4 4. 4. 1 2 3 4 5. 5. 1 2 3 1 2 3

More information

전반부-pdf

전반부-pdf Contents 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72

More information

<4D6963726F736F667420506F776572506F696E74202D20312E20B0E6C1A6C0FCB8C15F3136B3E2C7CFB9DDB1E25F325FC6ED28C0BA292E70707478>

<4D6963726F736F667420506F776572506F696E74202D20312E20B0E6C1A6C0FCB8C15F3136B3E2C7CFB9DDB1E25F325FC6ED28C0BA292E70707478> Contents 3 2016 4 2016 5 2016 6 2016 7 2016 8 2016 9 2016 10 2016 11 2016 12 2016 13 2016 14 2016 15 2016 16 2016 17 2016 18 2016 19 2016 20 2016 21 2016 22 2016 23 2016 24 2016 25 2016 26 2016 27 2016

More information

..........- ........

..........- ........ Contents 24 28 32 34 36 38 40 42 44 46 50 52 54 56 58 60 61 62 64 66 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 01 02 24 25 03 04 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40

More information

Contents 007 008 016 125 126 130 019 022 027 029 047 048 135 136 139 143 145 150 058 155 073 074 078 158 163 171 182 089 195 090 100 199 116 121 01 01 02 03 04 05 06 8 9 01 02 03 04 05 06 10 11 01 02 03

More information

A°ø¸ðÀü ³»Áö1-¼öÁ¤

A°ø¸ðÀü ³»Áö1-¼öÁ¤ 1 4 5 6 7 8 9 10 11 Contents 017 035 051 067 081 093 107 123 139 151 165 177 189 209 219 233 243 255 271 287 299 313 327 337 349 12 13 017 18 19 20 21 22 23 24 25 26 27 28 29 30 31 035 051 067 081 093

More information

±¹³»°æÁ¦ º¹»ç1

±¹³»°æÁ¦ º¹»ç1 Contents 2 2002. 1 116 2002. 1 2002. 1 117 118 2002. 1 2002. 1 119 120 2002. 1 2002. 1 121 122 2002. 1 2002. 1 123 124 2002. 1 2002. 1 125 126 2002. 1 2002. 1 127 128 2002. 1 2002. 1 129 130 2002. 1 2002.

More information

¿¡³ÊÁö ÀÚ¿ø-Âü°í ³»Áö.PDF

¿¡³ÊÁö ÀÚ¿ø-Âü°í ³»Áö.PDF Contents 01 02 03 6 04 05 7 8 9 01 10 02 03 11 04 01 12 02 13 03 04 14 01 02 03 04 15 05 06 16 07 17 08 18 01 02 03 19 04 20 05 21 06 07 22 08 23 24 25 26 27 28 29 30 31 32 33 01 36 02 03 37 38 01

More information

전반부-pdf

전반부-pdf Contents 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72

More information

Microsoft PowerPoint - 3. 2016 하반기 크레딧 전망_V3.pptx

Microsoft PowerPoint - 3. 2016 하반기 크레딧 전망_V3.pptx Contents 3 2016 4 2016 5 2016 6 2016 7 2016 8 2016 9 2016 10 2016 11 2016 12 2016 13 2016 14 2016 15 2016 16 2016 17 2016 18 2016 19 2016 20 2016 21 2016 22 2016 23 2016 24 2016 25 2016 26 2016 27 2016

More information

양성내지b72뼈訪?303逞

양성내지b72뼈訪?303逞 Contents 성매매 예방교육 가이드북 Contents 제3부 성매매의 어제와 오늘 그리고 한국의 현주소 제4부 처벌 과 보호 의 성매매방지법 1. 성매매의 역사적 배경 및 추이 1. 성매매방지법 제정 배경 62 2. 성매매방지법 제정 취지 63 40 2. 성매매에 대한 국가별 개입 양상 42 3. 규범적 판단과 형사처벌을 기준으로 본 성매매 4. 외국의

More information

³»Áöc03âš

³»Áöc03âš 08 09 27 20 32 42 contents 3 4 5 6 7 8 9 28 10 11 42 38 12 13 45 48 44 14 15 53 50 16 17 58 54 18 19 20 21 22 23 24 25 2008. 5. 27~30 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 2008. 4. 27 42 43 44

More information

¾ç¼º-¾÷¹«Æí¶÷-³»¿ëÃà¼Ò4

¾ç¼º-¾÷¹«Æí¶÷-³»¿ëÃà¼Ò4 contents 6 9 18 21 23 43 44 53 61 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62

More information

전도대회자료집

전도대회자료집 1 Contents 8 10 57 4 2 63 6 17 43 12 3 4 5 7 6 7 6 8 9 10 11 12 13 14 15 16 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60

More information

0204..........1..

0204..........1.. contents contents 01 6 7 8 02 9 10 11 12 13 03 14 15 16 17 18 19 20 21 22 23 24 25 26 27 01 30 31 32 33 34 35 36 37 02 38 39 40 41 42 43 44 45 46 03 47 48 49 50 51 52 53 54 55 56 04 57 58 59 60 61

More information

µ¶ÀÏÅëÀÏÁý1~2Æíq36£02Ð

µ¶ÀÏÅëÀÏÁý1~2Æíq36£02Ð CONTENTS 3 9 16 20 24 29 33 36 40 48 50 56 60 64 71 76 80 83 88 91 94 97 100 103 106 109 114 116 128 133 139 144 148 151 154 159 170 173 176 181 183 188 190 192 194 198 202 209 212 218 221 228 231 233

More information

Microsoft PowerPoint - ch07.ppt

Microsoft PowerPoint - ch07.ppt chapter 07. 시스코라우터기본동작 한빛미디어 -1- 학습목표 시스코라우터외적, 내적구성요소 시스코라우터부팅단계 시스코라우터명령어모드 한빛미디어 -2- 시스코라우터구성요소 라우터외부구성요소 (1) [ 그림 ] 2600 라우터전면도 인터페이스카드 전원부 LED 라우터조건 한빛미디어 -3- 시스코라우터구성요소 라우터외부구성요소 (2) [ 그림 ] VTY 를이용한라우터접속

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M.

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. 오늘할것 5 6 HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. Review: 5-2 7 7 17 5 4 3 4 OR 0 2 1 2 ~20 ~40 ~60 ~80 ~100 M 언어 e ::= const constant

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2010 년 8 월전자공학회논문지제 47 권 SD 편제 8 호 29 논문 2010-47SD-8-5 내장된자체테스트를위한저전력테스트패턴생성기구조 (An Efficient Test Pattern Generator for Low Power BIST ) 김기철 *, 강성호 ** * (Kicheol Kim and Sungho Kang ) 요 약 본논문에서는내장된자체테스트기법

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

Voice Portal using Oracle 9i AS Wireless

Voice Portal using Oracle 9i AS Wireless Voice Portal Platform using Oracle9iAS Wireless 20020829 Oracle Technology Day 1 Contents Introduction Voice Portal Voice Web Voice XML Voice Portal Platform using Oracle9iAS Wireless Voice Portal Video

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 RecurDyn 의 Co-simulation 와 하드웨어인터페이스적용 2016.11.16 User day 김진수, 서준원 펑션베이솔루션그룹 Index 1. Co-simulation 이란? Interface 방식 Co-simulation 개념 2. RecurDyn 과 Co-simulation 이가능한분야별소프트웨어 Dynamics과 Control 1) RecurDyn

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

Microsoft PowerPoint - polling.pptx

Microsoft PowerPoint - polling.pptx 지현석 (binish@home.cnu.ac.kr) http://binish.or.kr Index 이슈화된키보드해킹 최근키보드해킹이슈의배경지식 Interrupt VS polling What is polling? Polling pseudo code Polling 을이용한키로거분석 방어기법연구 이슈화된키보드해킹 키보드해킹은연일상한가! 주식, 펀드투자의시기?! 최근키보드해킹이슈의배경지식

More information

OR MS와 응용-03장

OR MS와 응용-03장 o R M s graphical solution algebraic method ellipsoid algorithm Karmarkar 97 George B Dantzig 979 Khachian Karmarkar 98 Karmarkar interior-point algorithm o R 08 gallon 000 000 00 60 g 0g X : : X : : Ms

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

Observational Determinism for Concurrent Program Security

Observational Determinism for  Concurrent Program Security 웹응용프로그램보안취약성 분석기구현 소프트웨어무결점센터 Workshop 2010. 8. 25 한국항공대학교, 안준선 1 소개 관련연구 Outline Input Validation Vulnerability 연구내용 Abstract Domain for Input Validation Implementation of Vulnerability Analyzer 기존연구

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

마이크로프로세서 개요

마이크로프로세서 개요 1 주 개요 메카트로닉스공학부 조철우 8051 Pin Layout 8051 3 Atmel 89C2051 4 강의의개요 컴퓨터및의기원과동작원리를학습 마이크로컨트롤러를배우기위한기초원리 마이크로컨트롤러를활용하기위한도구사용법 마이크로컨트롤러를활용하기위한기초시스템설계및프로그래밍 History of Computer 컴퓨터의역사. 최초의컴퓨터 - 1946년 ENIAC,

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

Chapter ...

Chapter ... Chapter 4 프로세서 (4.9절, 4.12절, 4.13절) Contents 4.1 소개 4.2 논리 설계 기초 4.3 데이터패스 설계 4.4 단순한 구현 방법 4.5 파이프라이닝 개요*** 4.6 파이프라이닝 데이터패스 및 제어*** 4.7 데이터 해저드: 포워딩 vs. 스톨링*** 4.8 제어 해저드*** 4.9 예외 처리*** 4.10 명령어 수준

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

hlogin7

hlogin7 0x07. Return Oriented Programming ROP? , (DEP, ASLR). ROP (Return Oriented Programming) (excutable memory) rop. plt, got got overwrite RTL RTL Chain DEP, ASLR gadget Basic knowledge plt, got call function

More information

thesis

thesis CORBA TMN Surveillance System DPNM Lab, GSIT, POSTECH Email: mnd@postech.ac.kr Contents Motivation & Goal Related Work CORBA TMN Surveillance System Implementation Conclusion & Future Work 2 Motivation

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt

Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt 고속 Row Cycle 동작이가능한 VPM (Virtual Pipelined Memory) 구조에 대한연구 1998. 12. 28. 윤치원 1 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX 20062 () wwwexellencom sales@exellencom () 1 FMX 1 11 5M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX D E (one

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

untitled

untitled 9 hamks@dongguk.ac.kr : Source code Assembly language code x = a + b; ld a, %r1 ld b, %r2 add %r1, %r2, %r3 st %r3, x (Assembler) (bit pattern) (machine code) CPU security (code generator).. (Instruction

More information

hlogin2

hlogin2 0x02. Stack Corruption off-limit Kernel Stack libc Heap BSS Data Code off-limit Kernel Kernel : OS Stack libc Heap BSS Data Code Stack : libc : Heap : BSS, Data : bss Code : off-limit Kernel Kernel : OS

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

Chap06(Interprocess Communication).PDF

Chap06(Interprocess Communication).PDF Interprocess Communication 2002 2 Hyun-Ju Park Introduction (interprocess communication; IPC) IPC data transfer sharing data event notification resource sharing process control Interprocess Communication

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

2 / 26

2 / 26 1 / 26 2 / 26 3 / 26 4 / 26 5 / 26 6 / 26 7 / 26 8 / 26 9 / 26 10 / 26 11 / 26 12 / 26 13 / 26 14 / 26 o o o 15 / 26 o 16 / 26 17 / 26 18 / 26 Comparison of RAID levels RAID level Minimum number of drives

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

9

9 9 hamks@dongguk.ac.kr : Source code Assembly language code x = a + b; ld a, %r1 ld b, %r2 add %r1, %r2, %r3 st %r3, x (Assembler) (bit pattern) (machine code) CPU security (code generator).. (Instruction

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

초보자를 위한 분산 캐시 활용 전략

초보자를 위한 분산 캐시 활용 전략 초보자를위한분산캐시활용전략 강대명 charsyam@naver.com 우리가꿈꾸는서비스 우리가꿈꾸는서비스 우리가꿈꾸는서비스 우리가꿈꾸는서비스 그러나현실은? 서비스에필요한것은? 서비스에필요한것은? 핵심적인기능 서비스에필요한것은? 핵심적인기능 서비스에필요한것은? 핵심적인기능 서비스에필요한것은? 적절한기능 서비스안정성 트위터에매일고래만보이면? 트위터에매일고래만보이면?

More information