Quartus-Manual_Kor.PDF

Size: px
Start display at page:

Download "Quartus-Manual_Kor.PDF"

Transcription

1 (Technical) Document No: MJL-LD-Manual_Quartus Author: Version: 10 Date: Subject: Quartus Manual Start the Tutorial To start the tutorial, click one of the following tutorial icons : Module: Design Entry Compilation Timing Analysis Simulation Programming Description:! Block Editor top-level Block Design File ( bdf ) MegaWizard Plug-In Manager lower-level Verilog (v) Compiler Compiler, resource logic option assignments floorplan Timing requirements multiclock Simulation Vector Waveform File (vwf) Simulator Altera Quartus II Programmer

2 Design Entry Quartus II, assignment files,,, Entry fir_filter lower-level Block Block File (bdf), lower-level Verilog (v) lower-level megafunction Entry top-down design Session 1: Create a Project Quartus II New Project wizard New Project wizard, : 1 New Project wizard ( )! New Project wizard New Project wizard, Introduction ; New Project wizard Next 2 Browse( ) d:\qdesigns\fir_filter Browse 3 project name box, fir_filter 4 top-level design entity box top-level design entity filtref Default top-level Design Entity, top-level design entity

3 5! New Project wizard

4 6 Add All fir_filter,, Browse( ), Add! 7! Summary page Summary, EDA,,,, wizard 8 Finish! Quartus II APEX 20KE, APEX 20K OK! Top-level Design Entity Project Navigator Hierarchies

5 Session 2: Create a Block Diagram Top-level Design Entity Block diagram Top-level Design Entity (filtrefbdf) Tutorial Alteraprovided Tutorial Quartus II Block Editor, Altera -provided Copying Altera -Provided Files! 1 Create a New Block Design File filtrefbdf BDF fir_filter top-level design Entity BDF, : 1 New( )! 2 Block Diagram/Schematic File! 3 OK! Block Editor window 4 Save As ( )!

6 Save As 5 BDF! Save As ( d:\qdesigns\fir_filter) 6 File filtref! 7 Add 8 Save 2 Create the taps Block Follow these steps to create the taps block in the filtrefbdf file : filtrefbdf 1 Block Editor, Block Tool! 2 Block Editor Block Tool!

7 Undo Redo ( ) 3! 4,! Block Properties dialog box 5 General tab! 6 Name, block name Tabs instance Name box inst, default instance name 7 I/Os tab! 8 clk! 9 Add! clk Existing block I/Os

8 Add Name: clk (already entered) Reset sel[10] Newt d[70] x[70] Type: INPUT INPUT INPUT INPUT INPUT OUTPUT 11 OK! Tabs block

9 12 taps block! 13 AutoFit ( )! 3 Create the state_m, hvalues, and acc Blocks filtrefbdf state_m, hvalues, acc : state_m, hvalues, acc Block state_m : Name: Clk Reset Newt sel[10] Next First Type: INPUT INPUT INPUT OUTPUT OUTPUT OUTPUT hvalues block : Name: Type:

10 sel[10] h[20] INPUT OUTPUT acc block : Name: Xh[100] Clk First yn[70] Type: INPUT INPUT INPUT OUTPUT 2 Save (File menu) 4 Enter Primitive Symbols BDF tutorial block symbols ordinary schematic symbols Quartus II functions Symbol ; Block Editor Primitives, Library of Parameterized Modules(LPM) megafunctions filtrefbdf DFF(D flipflop) : 1 Block Editor window Symbol dialog box 2 Libraries + d:\quartus\libraries,, Storage 3 storage dff primitive Symbol Symbol

11 As an alternative to steps 2 and 3, you can simply type dff in the Name box 4 OK DFF symbol 5 DFF Block Editor 6 filtrefbdf DFFE Symbol 1 ~5 5 Enter a Megafunction Symbol Symbol lpm_mult megafunction Multiplier Symbol MegaWizard Plug-In Manager MegaWizard Plug-In Manager Altera Library of Parameterized Modules ( LPM ) megafunctions ( ) MegaWizard Plug-In customization Wizard Port Wizard Multilplier mult Symbol MegaWizard Plug-In Manager, 1 Block Editor window Symbol dialog box

12 2 Symbol dialog box MegaWizard Plug-In Manager MegaWizard Plug-In Manager 3 MegaWizard Plug-In Manager, Create a new custom megafunction variation Next > 4 4 In the Available Megafunctions list, click the + icon to expand the arithmetic folder, and then select LPM_MULT 4 Megafunctions,!, +, LPM_MULT 5 5 Specify the following responses to the remaining wizard prompts : 5! : Wizard Prompt: Which type of output file do you want to create? What name do you want for the output file? How wide should the dataa input bus be? How wide should the datab input bus be? Response: Verilog HDL d:\qdesigns\fir_filter\multv Symbol, Finish Symbol Symbol dialog box

13 7 OK! mult 8 To place the symbol, click the location you want the mult symbol to appear in the Block Editor window Symbol, mult Symbol Block Editor window 9 Save (File menu) 6 Arrange the Blocks, Primitives, and Megafunction Block Editor Window,, Megafunction Selection Tool Selection Tool ECS, ( ) megafunction

14 7 Change the Block Editor Display Options Block Editor display Block Editor, 1 (Tools )! Options 2 Block/Symbol Editor Category General 3 General, preference On/Off 4 Block Editor window Category Colors or Fonts tab 5 Ok zoom, zoom in, zoom out, fit

15 8 Enter Input & Output Pin Symbols, : 1 toolbar Symbol Tool button DFF DFFE, - Repeat-insert, ESC ( ) 2 Symbol dialog box Libraries + + d:\quartus\libraries,, 3 pin input primitive 4 OK 5 5 INPUT BDF 5! pin_name<number> Esc 6 3 OUTPUT 1 5

16 7 Save (File menu) 9 Name the Pins Pin 1!

17 2 Pin name, Pin,, pin_name clkx2! 3 OK 4 Pin 1 3 Pin Type: INPUT Rename As: clkx2 (already entered) Description: Derived clock for the FIR filter INPUT Clk Base clock for the FIR filter INPUT d[70] Data input to the FIR filter INPUT reset Reset signal for the FIR filter INPUT newt Input signal that loads the data input d[70] into the taps function

18 OUTPUT yn_out[70] The FIR filter output data OUTPUT yvalid Indicates that the yn[70] filter output of the acc function is valid OUTPUT next Indicates that the FIR filter is ready for the next 8-bit data input 5 Move the INPUT and OUTPUT pin symbols so they line up with the appropriate symbols or blocks, as shown in the following illustration: 6 Save (File menu) Session 3: Connect Symbols & Blocks BDF Symbol Blocks Selection Tool node, buses, conduit Conduits Block bus

19 Selection Tool pinstub Block linedrawing pointer, pinstub Orthogonal Node Tool pointer (Bus) Quartus II conduit Conduits Overview : Mapping filtrefbdf : 1 Connect Symbols & Blocks To draw the appropriate bus and conduit lines, follow these steps: 1 Toolbar Orthogonal Bus Tool button 2 clk pinstub!, "mapper" symbol

20 mapper I/O map 3 Symbol Block 1 2 Node Node, Orthogonal Node Tool Orthogonal Bus Tool button " Dot Draw Line From: INPUT pin clk Bus connecting INPUT pin clk to taps block INPUT pin d[70] INPUT pin reset INPUT pin newt state_m block To: taps block (already entered) state_m block Bus connecting taps block to state_m block Bus connecting taps block to state_m block Bus connecting taps block to state_m block OUTPUT pin next

21 Q output of DFFE primitive acc block OUTPUT pin yn_out[70] D input of the DFFE symbol 4 5 Tabs Block hvalues "Mapper" bus Tabs hvalues : Draw Line From: taps block Bus connecting taps block to hvalues block Bus connecting INPUT pin clk to taps block state_m block taps block To: hvalues block (already entered) state_m block acc block acc block dataa[70] input of mult symbol

22 hvalues block result[100] output of mult symbol datab[20] input of mult symbol acc block 7 tool Orthogonal Node Tool button 8 DFF D state_m

23 state_m DFF D conduit ;,, 2 View Conduit Properties properties of a conduit : 1 taps block INPUT pin clk bus, Properties( )! Conduit Properties dialog box 2 Signals tab! Connections conduit

24 3 OK 3 Draw Node Lines, 1! 2 DFF Q pinstub OUTPUT yvalid pinstub Pin Primitives 1 2

25 Draw Line From: Q output of DFF primitive INPUT pin clkx2 enable (ENA) input of the DFFE primitive To: OUTPUT pin yvalid (already entered) clock input of the DFFE primitive Node connecting the Q output of the DFF primitive to the OUTPUT pin yvalid 4 DFF clock 5 Choose Save (File menu) Overview: Mapping Signals between Blocks Quartus II : Mapping Method: "Smart" Description: I/O,

26 mapping I/O, Assigning names to nodes or buses (including "connection by name") I/O,,, ( ), 2 2 Using "mappers" to specify mappings explicitly I/O, block I/O Block I/O filtrefbdf smart mapping Quartus II smart mapping ; From: INPUT pin clk To: Block I/Os named clk in blocks that are connected to the clk pin INPUT pins d[70] INPUT pin reset Block I/Os that are named d[70] in the taps block Block I/Os named reset in the taps and state_m blocks

27 INPUT pin newt Block I/O named sel[10] in the taps block Block I/O named first in the state_m block Block I/O named next in the state_m block Block I/Os named newt in the taps and state_m blocks Block I/Os named sel[10] in the hvalues and state_m blocks Block I/Os named first in the acc block OUTPUT pin next 4 Map Signals by Name mapping : 1 Selection Tool, state_m DFF D Conduit 2 Propertie s( )! Conduit Properties dialog box General tab 3 Conduit,!

28 4 OK! conduit conduit state_m DFF D 5 DFF Primitive Clock 1 4 clk, INPUT Pin clk DFF Clo ck, 6 ( )! 5 Map Signals Explicitly Quartus II mult, 4 mapping

29 mapping, : 1 mult dataa[70], mapper mapper, Mapper Properties dialog box General tab 2,

30 3 Mappings tab 4 I/O on block x[70] 5 Signals in conduit box dataa[70] 6 To map the connection, click The mapping appears in the Existing mappings list mapping Add Mapping lisy

31 7 OK dataa[70] mapping mapper dataa[70] mapper Mapping dataa[70] mult Port

32 8 map Map Signals Explicitly 1 7 Connection: Type: I/O on Block: Signals in Conduit: Bus from the taps block to the dataa[70] input of the mult symbol (already entered) Bus from the hvalues block to the datab[20] input of the mult symbol Bus from the result[100] output of the mult symbol to the acc block Bus from the acc block to the D input of the DFFE primitive OUTPUT x[70] dataa[70] OUTPUT h[20] datab[20] INPUT xh[100] result[100] BIDIR yn[70] yn[70] 9 Save (File menu) BDF

33 Session 4: Create Verilog Design Files, Quartus II Verilog HDL, Copying Altera -Provided Files 1 Create a New Verilog Design File for the hvalues block hvalues Verilog Design File framework, 1 hvalues block 2 Create Design File from Selected Block( ) Create Design File Create Design File from Selected Block 3 File type Verilog HDL 4 5 fir_filter hvaluesv

34 6 OK Quartus II Quartus II, : Quartus II-generated Altera "! ", Verilog HDL, 7 Add the following lines to the hvaluesv file to implement the design Insert these lines just before the endmodule statement: hvaluesv endmodule reg [2:0]h; case (sel) 2'b 00 : h = 3'b 111; 2'b 01 : h = 3'b 101; 2'b 10 : h = 3'b 011; 2'b 11 : h = 3'b 001; ndcase

35 8 Save (File menu) 9 Text Editor Close (File menu) 2 Copy Verilog Design Files for Other Blocks, state_m, acc Verilog Files tapsv, state_mv, \qdesigns\fir_filter \qdesigns\tutorial accv 1 Open (File menu) Open dialog box 2 Files of type list Device Design Files 3 \qdesign\tutorial Altera -provided, tapsv, state_mv, accv! 4 Open 5 Save As (File menu) Save As dialog box 6 Save in target directory \qdesigns \fir_filter 7 Add file to current project 8 Save

36 9 Session 5: Create a Design File with the MegaWizard Plug-In Manager accv 12, lpm_add_sub accv accum MegaWizard Plug-In, Copying Altera-Provided Files MegaWizard Plug-In Altera -provided MegaWizard Plug-In lpm_add_sub Verilog HDL,! 1 MegaWizard Plug-In Manager ( ) MegaWizard Plug-In Manager 2 MegaWizard Plug-In, Create!, megafunction Next

37 3 Megafunctions, + LPM_ADD_SUB 4 : Wizard Prompt: Which type of output file do you want to create? What name do you want for the output file? How wide should the dataa and datab input buses be? Which operating mode do you want for the adder/subtractor? Is the dataa or datab input bus value a constant? Do you want any optional inputs or outputs? Do you want to pipeline the function? Response: Verilog HDL d:\qdesigns\fir_filter\accumv 12 Addition only No, both values vary Make sure all options are turned off No 5 Finish Wizard accumv, 2 Add Wizard-Generated Files to the Project fir_filter accumv multv 1 Add Files to Project (Project menu) General Settings dialog box Add Files tab 2 File name box accumv file Browse () Add 3 multv, 2

38 4 OK fir_filter, Compilation Compilation Quartus II,, Altera, ( ), Compiler,

39 timing-driven Compiler, Compilation Report Compiler Quartus II Altera Compilation Compiler,,, Last Compilation floorplan, Embedded System Block (ESB), Session 6: Specify Compiler Settings Quartus II,, Quartus II, Compiler Compiler Compiler Compiler, Compiler Settings Wizard ( ) Compiler 1 View the Compiler General Settings The General tab of the Compiler Settings dialog box allows you to select an existing group of Compiler settings for use during compilation, define and save a new group of Compiler settings, specify the compilation focus, and delete existing settings,,

40 To view the default Compiler general settings created for the current project, follow these steps:, 1 To make sure you are in Compile mode, select Compile Mode (Processing menu), Compile Mode( ) 2 Choose Compiler Settings (Processing menu) The General tab of the Compiler Settings dialog box appears automatically ( ) At this point in the tutorial, the General tab displays only the default Compiler general settings created by the Quartus II software when the project was initially created These default settings are given the name of the top-level design entity in the project, filtref, Quartus II filtref

41 2 Specify the Target Device Compiler Settings Chips & Devices 1, & 2 Family list APEX20K 3, Quartus II Yes 4 Target device Specific device selected in "Available devices" list 5 Show in "Available devices" list : a In the Package list, select PQFP b In the Pin count list, select 208 c In the Speed grade list, select 1 6 Available devices EP20K100QC208-1

42 7 Apply 3 Specify the Compiler Mode Compiler Settings Mode,,, 1 Compiler Settings dialog box Mode tab 2 Compilation level Full compilation 3 Compilation speed/disk, Smart compilation/more 4 Preserve

43 4 Specify Compiler Synthesis & Fitting Settings Compiler Settings Synthesis & Fitting Fitter 1 Compiler Settings dialog box Synthesis & Fitting tab 2 APEX Fitter Standard Fitter 3 Timing-driven, Optimize, Optimize I/O, Normal :

44 5 Specify Compiler Verification Settings Compiler Settings /, : 1 Compiler Settings dialog box Verification tab 2 Run timing analyses 3 OK, filtref Compiler Compiler, Compiler

45 Session 7: Create a Resource Assignment, MegaLAB ( bdf ) MegaLABs APEX 1 (, ROW A), 1 filtrefbdf block diagram Open (File menu) Open dialog box 2 Files of type list Device Design Files 3 Files filtrefbdf 4 Open 5 filtrefbdf block diagram taps block 6 Assignment Organizer (right button pop-up menu) Assignment Organizer, Edit, Name

46 7 Assignment Categories + 8 Locations MegaLAB row 9 Assignment MegaLAB row name list A 10 Zone, Whole 11 Add Assignment Categories list assignment 12 Ok taps block row A 13 filtrefbdf, ( ) Session 8: Compile the Design During, the The Compiler automatically locates and uses all non-design files associated with the current compilation focus, such as Include Files (inc) containing AHDL Function Prototype Statements; Memory Initialization Files (mif) or Hexadecimal Intel-format Files (hex) containing the initial content of memories; and Project, Entity, and Compiler Settings Files (psf, esf, and csf) containing project and setting information During compilation, the Compiler generates information, warning, and error messages that appear automatically in the Messages window current Compiler settings control design processing Compilation (mif) Hexadecimal - (hex) ; Project, Entity,

47 AHDL Compiler Settings Files(psf, esf, csf) (inc) ; 1 Run the Compiler filtref, 1 Start Compilation (Processing menu) Compiler filtref,, filtref Compiler, Status, Compilation Report comp uter ; Quartus II software ;, 2 Messages, errors or warnings OK Compiler Help ( ) Messages

48 (s) Locate ( ) 2 Locate the Source of a Message, Messages Processing Messages Compiler-generated, 1 Messages,!, + D:\qdesigns\fir_filter\< > \accv Found ! : acc accv /, message ; Module Declaration ;

49 3, Text Editor window Overview: Viewing the Compilation Report, Compilation Report Compilation Report 1, Summary?? The final status of the compilation?? The APEX Fitter type used?? The timing requirements, if any?? The name of the design entity compiled?? The total number of logic cells, pins, and memory used in the device Compilation Report :?? Compiler floorplan?? pin, logic cell, global, control signal, interconnect usage?? (fmax),, pin-to-pin???? The time required to process the design :

50 3 View the Compilation Report Compilation Report, : 1 Compilation Report pane + Report 2 Report, Report Quartus II : Session 9: View the Fit in the Last Compilation Floorplan Quartus II floorplan 2?? Assignments floorplan resources location assignments?? non-editable Last Compilation floorplan Compiler Each of these floorplans allows you to view information organized by interior logic cells, interior LABs, interior MegaLAB structures, and the device package top and bottom

51 floorplans, LABs, MegaLAB, 1 Open the Last Compilation Floorplan, Last Compilation floorplan Last Compilation floorplan Compiler Altera floorplan, 1 COpen Last Compilation Floorplan (Processing menu) LABs logic cell Floorplan logic cell view, neccessary Floorplan Editor 2, ( )

52 2 Display Routing Information fan-in fan-out, 1 Routing > Show Node Fan-In & Fan-Out (View menu) 2 LAB1, Logic cell, ; 3 routing delays Routing > Show Routing Delays (View menu) routing delays floorplan 4 fan-in fan-out parh, Routing > Hide Routing (View menu) 3 Display Equation Information

53 Equations window fan-in fan-out cell Equations Floorplan Editor pin, : 1,, ( ) 2 Last Compilation floorplan pin Equations pin Fan-Out 3 a Fan-Out, b Go To Equations c Equations, 4 Display the MegaLAB View floorplan MegaLAB MegaLAB (1 ESB), MegaLAB Floorplan Editor MegaLABs,

54 1 MegaLABs ( ) Floorplan Editor MegaLABs, 2 1, row A + MegaLAB_A1 MegaLAB LABs 3 Interior Cells (View menu) 4 floorplan Close (File menu) Session 10: Assign Logic to an ESB Quartus II ESB ESB APEX, ARM -based Excalibur, Mercury, MIPS -based, (RAM, ROM, FIFO, CAM), back-annotate, Compiler 1 Back-Annotate Assignments

55 , Compiler back-annotation Back-annotation back-annotate pin device 1 Back-Annotate Assignments (Processing menu) The Back- Annotate Assignments dialog box 2 Assignment(s) to back-annotate, Pin & device assignments 3 OK 2 Verify the Back-Annotated Assignments back-annotation Current Assignments floorplan, 1 Open Current Assignments Floorplan (Processing menu) Chip Current Assignments floorplan Compiler EP20K100QC208-1 Current Assignments floorplan! back-annotation, 2 Floorplan, Close (File menu) 3 Create a Logic Option Assignment

56 ESB state_m:inst1, 1 Project Navigator Hierarchies tab Project Quartus II,, 2 Hierarchies tab filtref 3 filtref hierarchy state_m:inst1 entity 4 Assignment Organizer( ) Assignment Organizer dialog box Edit, Name state_m:inst1 5 Assignment Categories list Options for Entities Only 6 Click here to add a new assignment text 7 Assignment, Name list Technology Mapper -- APEX 20K/20KE/20KC 8 Setting list Product Term

57 9 Add 10 OK Assignment Categories 4 Recompile the Design : 1 Start Compilation (Processing menu) 2, OK 5 View the Implementation of the Assignment in the Floorplan Last Compilation floorplan,

58 1 Open Last Compilation Floorplan (Processing menu) pin -out Fit 2, 3 Selection Tool, ESB A " " state_m ESB " state_m " Timing Analysis Quartus II Timing Analyzer Compiler Floorplan Editor, Quartus II,, Compilation Report Timing Analyses

59 Timing Analysis Compilation Report,, multiclock, multicycle Entry Compilation,, 11: Session 11: View Timing Analysis Results, Compilation Report, ( fmax ), registerto-register, (tsu), (th), clock-to-output ( tco ), pin -to-pin ( tpd )

60 , 1 View the f MAX Timing Analysis Report Compilation Report fmax Compilation Report fmax, 1,, ( ) 2, + 3 Timing Analyses folder fmax fmax section 4 + clk, 10 Quartus II 2 List the f MAX Timing Paths fmax 1, + fmax 1, 10

61 2 1 3 List Paths (right button pop-up menu),, Messages 4 Messages, Internal fmax + Clock< > (Smallest Clock ), Micro, Micro

62 5 + 3 Locate a Timing Path in the Floorplan Editor Last Compilation floorplan, 1 Messages, 2 Locate ( ) floorplan,

63 4 View the t SU Timing Analysis Report tsu Compilation Report tsu Compilation Report tsu, 1, + 2 Timing Analyses folder tsu section 3 pin + 4 ( ) Compilation Report Quartus II

64 Session 12: Specify Timing Requirements pin, (tsu), (th), clock-to-output (tco), pin-to-pin (tpd), (fmax),,,, Compiler Timing Settings ( ),,, Assignment Organizer ( ), Fitter timing-driven, Timing Wizard ( ) 1 Specify the Default Required f MAX fmax, fmax f MAX, : 1 Timing Settings (Project menu) Timing Settings dialog box Clock Settings tab 2 fmax 3 Default required fmax box 45 list MHz

65 fmax 2 Cut Timing Paths Timing Settings ( ) Requirements & Options, Assignment Organizer ( ) Cut Timing Path node-by-node I/O pin, : 1 Timing Settings dialog box Other Requirements & Options tab 2 Cut off feedback from I/O pins 3 OK

66 Session 13: Perform Multiclock Timing Analysis Quartus II, multiclock,, ( ) ( ) Quartus II,, 1 Create Absolute Clock Settings, 1 Timing Settings (Project menu) Timing Settings dialog box Clock Settings tab 2 Specify circuit frequency as Settings for individual clock signals 3 New New Clock Settings dialog box 4 Clock settings name box clock seeting clocka 5 ( Relationship) Independent 6 (Required fmax ) fmax 50 MHz :

67 7 OK clocka Existing 2 Create Derived Clock Settings, : 1 Timing Settings dialog box New New Clock Settings dialog box 2 Clock settings name box clockb 3 Relationship to other clock settings Based on list clocka 4, Derived Clock Requirements Derived Clock Requirements dialog box 5 2 Multiply base absolute clock fmax by 2 6 To offset Offset from base absolute clock fmax 05 list ns

68 7 OK 8 New Clock Settings dialog box Existing clock settings list clockb clock settings OK 9 Timing Settings dialog box OK 3 Assign the Clock Settings to a Pin, (s) Quartus II, Assignment Organizer ( ),, clk pin clocka, : 1 Assignment Organize r (Tools menu) Assignment Organizer dialog box By Node tab 2 Mode Edit specific entity and node settings for

69 3 Mode Name box Browse () Node Finder dialog box 4 Node Finder dialog box Filter list Pins: all Start

70 5 Nodes Found list, clk pin 6 Assignment Organizer dialog box clk pin Node Finder, OK 7 Assignment Organizer dialog box Assignment Categories list Timing 8 Click here to add a new assignment text 9 Assignment Name list make sure Clock Settings is selected 10 pin clocka Settings list 11 Add Assignment Categories list

71 12 Mode Name box Browse () Node Finder dialog box 13 from the Selected Nodes list clk pin Remove all nodes (<<) 14 Node Finder dialog box clock settings clkx2 pin Start 15 Nodes Found list clkx2 pin name 16 Assignment Organizer dialog box clkx2 pin Node Finder dialog box OK 17 clkx2 pin clockb Assignment Organizer dialog box OK Quartus II

72 4 Rerun the Timing Analysis To rerun timing analysis: 1 Start Timing Analysis (Processing menu) 2 Quartus II ( ) Quartus II timing-driven,, 3 Quartus II, OK Messages 5 View the Clock Requirements Timing Analysis Section, Timing Analyzer fmax section clock signal Clock Requirement section Clock Requirement section speed performance slack timing requirement margin positive slack( ) negative slack requirement Clkx2 Clock Requirement section : 1 Compilation Report window pane Timing Analyses folder + 2 Timing Analyses clkx2 Clock Requirement section,

73 clkx2 Clock Requirement section f MAX requirement slack multicycle path Session 14: Specify a Multicycle Path fir_filter clockb clock settings 05 ns offset requirements tutorial Multicycle timing assignment default setup, clocks registers Timing Analyzer setup Timing Analyzer register latch multiple clocks clock latch edge source register launching edge delay requirement fir_filter destination register latch edge Timing Analyzer capture

74 edge launch edge maximum delay requirement You can use the to specify a path that requires more than one to propagate Assigning a of 2 to all clocked by clkx2 allows you to override the relationship and delay the by one clock cycle, thus achieving the specified 1 clock cycle Multicycle timing assignment clkx2 registers 2 Multicycle default setup 1 latch edge timing requirements

75 1 Create a Multicycle Timing Assignment You can make individual to a single or to a path between a source and destination point When you make a to the path between two, the is automatically applied to all register-toregister paths between the two To add the to all register-to-register paths between the and clkx2 pins, follow these steps: node timing assignments 2 clock pins point-to-point assignment, assignment 2 clocks register-to-register clk clkx2 Multicycle assignment register-to-register, : 1 filtrefbdf block diagram Open (File menu) Open dialog box 2 In the Files of type list, select Device Design Files 3 Files list filtrefbdf 4 Click Open 5 filtrefbdf block diagram input pin clkx2 6 Assignment Organizer ( ) The Assignment Organizer dialog box Edit specific entity & node settings for option, Name box hierarchical path name clkx2 7 Assignment Categories list Timing + 8 Click here to add new assignment text 9 Assignment Name list Multicycle

76 10 Setting box 2 clock cycles multicycle path 2 11 Fed by box point-to-point assignment source point clk, Browse () Node Finder dialog box 12 Click Add The assignment appears in the Assignment Categories list 13 Click OK 2 Rerun Timing Analysis To rerun timing analysis: 1 Start Timing Analysis (Processing menu) 2 Quartus II, No 3 Quartus II OK timing requirements 3 View the Clock Requirements Timing Analysis Section Timing analysis Multicycle assignment timing requirements, 1 Compilation Report window Timing Analyses 2 Timing Analyses clkx2 Clock Requirement section Clock Requirement section timing requirements slack timing requirements

77 Simulation device program configure Quartus II Simulator Simulator programmed,, (vwf),,

78 Session 15: Create a Waveform File for Simulation Quartus II Waveform Editor (vwf) VWFs text-based Vector (vec) Quartus II text waveform 1 Create a New Vector Waveform File To create a VWF, follow these steps: 1 Choose New (File menu) The New dialog box appears 2 To select VWF as the file type, click the Other Files tab and select Vector Waveform File 3 Click OK The Waveform Editor opens, displaying an empty waveform file 4 To change the end time for the file, choose End Time (Time menu) 5 In the Time box, type 700 and select ns in the list 6 Click OK 7 To save the file as firvwf, choose Save As (File menu) The Save As dialog box appears 8 In the Save in list, select the fir_filter directory 9 In the File name box, type fir 10 Click Save

79 2 Add Input & Output Nodes to the File VWF Node, : 1 Auxiliary Windows > Node Finder (View menu) The Node Finder 2 Node Finder Filter list Pins: all 3 VWF Node, Start 4 Nodes Found list VWF Name column clk, clkx2, d, newt, reset, yvalid, next, and yn_out pins Shift+Click multiple contiguous names Ctrl+Click multiple non-contiguous names 5 Node Finder, Auxiliary Windows > Node Finder (View menu) 3 Edit the clk Input Node Waveform logic level behavior To edit the clk input node waveform, follow these steps:

80 1, toolbar Selection Tool button 2 clk input node "handle" of the clk node Selection Tool 3 Clock (Value menu) Clock dialog box 4 Base waveform on Clock settings list clocka

81 5 OK clk clocka clock settings 4 Edit the clkx2 Input Node Waveform clkx2 : 1 toolbar Selection Tool button 2 clkx2 input node "handle" of the clkx2 node Selection Tool 3 Choose Clock (Value menu) The Clock dialog box appears 4 Under Base waveform on, select Clock settings and select clockb in the list 5 OK clkx2 clockb clock

82 5 Edit the d Input Node Waveform To edit the d input bus waveform, follow these steps: 1 d input bus "handle" of the d bus Selection Tool bus 2 Arbitrary Value (Value menu) Arbitrary Value dialog box 3 Radix list Unsigned Decimal 4 Numeric or named value list 16 5 OK d radix, Yes d unsigned decimal 16

83 6 Edit the newt Input Node Waveform To edit the newt input node waveform, follow these steps: 1 newt waveform's handle Selection Tool 2 Clock (Value menu) Clock dialog box 3 Base waveform on Time period 4 Period box 80 ns 5 Duty Cycle list 25 6 OK newt 25% duty cycle 80 ns

84 7 Edit the reset Input Node Waveform reset : 1 reset 0 ns Selection Tool 20 ns Value > Forcing Low ( ) 2 Click the at time 20 ns on the reset input waveform and drag the pointer to time 40 ns reset 20 ns Selection Tool 40 ns

85 3 Value > Forcing High( ) 4, Fit in Window (View menu) 5 reset 40 ns Selection Tool stimulus file 6 Value > Forcing Low( ) 7 To save the file, choose Save (File menu) Session 16: Specify Simulator Settings Quartus II simulation focus entity,,, Quartus II Simulator

86 1 View the Simulator General Settings Simulator Settings dialog box (Processing menu) General tab,, Simulator, 1 Simulate Mode (Processing menu) Simulate mode Yes 2 Simulator Settings (Processing menu) Simulator Settings dialog box General tab General tab Quartus II default Simulator general settings 2 Specify Simulator Time & Vectors Settings Simulator Settings dialog box Time/Vectors tab the source of vector stimuli time period VWF

87 VEC, Tcl Console window vector stimuli To specify the simulation time period and the source of vector stimuli, follow these steps: 1 Simulator Settings dialog box, Time/Vectors tab 2 Simulation period Start time box 0 list ns 3 End time Run simulation until all vector stimuli are used 4 Vectors Source of vector stimuli D:\qdesigns\fir_filter\firvwf Browse (), Simulator Simulator source (vwf, vec tbl), Simulator vector source 5 Vectors Automatically add pins to simulation output waveforms

88 3 Specify Simulator Mode Settings Simulator Settings dialog box Mode 2 netlists netlist, 1 Simulator Settings dialog box Mode tab 2 Simulation mode list Timing Description :

89 4 Specify Simulator Options Simulator Settings dialog box Options tab, 1 Simulator Settings dialog box Options tab 2 Simulation coverage reporting :

90 3 OK, filtref Simulator Simulator, Simulator Session 17: Simulate the Design To run the simulation, follow these steps: 1 Run Simulation (Processing menu) Simulator filtref filtref Simulator firvwf

91 Simulator,, Status, Simulation Report Simulator computer Quartus II software, 2, Messages, OK error-free VWF Simulator Messages, (s) Locate ( ), Help ( ) Session 18: Analyze the Simulation Results, Simulation Report, Simulation Waveforms Simulation Report Simulation Report Simulator,, 1 View the Simulation Waveforms Section, Simulation Waveforms :

92 1,, Simulation Waveforms Report 2, Fit in Window (View menu) Waveform Editor :??, Properties ( ), Radix?? Master Bar Master Time Bar?? Toolbar Zoom Tool button Zoom In, Zoom Out, Fit in Window, and Zoom commands (View menu) zoom in/out?? 2 create a Time bar Bar 2 Create a Time Bar To create a time bar, follow these steps: 1 Selection Tool, Master Time Bar handle rising edge of the clk signal window Master Time Bar box 300 ns ns Pointer 1600 ns

93 , 1300 (Master Time Bar Selection Tool location ) 3! ) 4 Time box 160 Insert Time Bar ( Insert Time Bar dialog box list ns 5 OK Waveform time bar 1600 ns time bar Master Time Bar time bar ns time bar Programming Quartus II, Altera APEX, ARM-based Excalibur, FLEX 6000, Mercury, MIPS-based,,, blank-

94 check (MasterBlaster ByteBlasterMV ), Quartus II Compiler 1 1 MasterBlaster ByteBlasterMV Passive Serial JTAG JTAG 1 top-to-bottom, Entry, Compilation, Timing Analysis, Simulation,, (, ) 19:, Altera Session 19: Program an Altera Device,, (cdf) JTAG Passive Serial 1 CDF 1 Open the Programmer Window To open the Programmer window and create a CDF, follow these steps: 1 New (File menu) New dialog box 2 New dialog box Other Files tab 3 Other Files tab Chain Description File

95 4 CDF, OK, CDF 5 Save As (File menu) Save As dialog box 6 Save As dialog box File name box fir_filtercdf e Save as type list Chain Description File 7 CDF, Yes! Open Programmer (Processing menu)

96 2 Set Up a Passive Serial Chain Passive Serial, 1 In the Mode list of the Programmer window, select Passive Serial 2 Programming Hardware Setup Hardware Setup dialog box 3 Hardware Type list ByteBlasterMV MasterBlaster, Port and Baud rate lists port baud rate 4 Click OK 5 Click Add File The Select File dialog box appears 6 Specify the filtrefsof file, located in the project's directory, in the File name box 7 Click Open : 8 Choose Save (File menu), 5 4, 3 Configure the Device To configure the device(s), follow these steps: 1 PC, 1 :

97 MasterBlaster, PC UNIX RS- 232 RS-232 MasterBlaster!, USB PC USB or ByteBlasterMV, DB25-to-DB25 ByteBlasterMV! 2 Start, OK PC UNIX & & Quartus II Quartus II 4 Change Programming Modes APEX 20K, JTAG Passive Serial JTAG,, APEX 20K JTAG

98 5 Add a Device to a Chain JTAG Passive Serial, Examine, (pof),, options, Verify, Blank-Check, Examine To add a device to a JTAG chain, follow these steps: 1 In the Programmer window, click Add Device The Select Device dialog box appears 2 In the Select Device dialog box, select the device you want to add in the Devices list 3 Click OK 4 Choose Save (File menu) 1 3

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 1......... 2 3..\ 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 " RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O Orange for ORACLE V4.0 Installation Guide ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE...1 1....2 1.1...2 1.2...2 1.2.1...2 1.2.2 (Online Upgrade)...11 1.3 ORANGE CONFIGURATION ADMIN...12 1.3.1 Orange Configuration

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

Solaris Express Developer Edition

Solaris Express Developer Edition Solaris Express Developer Edition : 2008 1 Solaris TM Express Developer Edition Solaris OS. Sun / Solaris, Java, Web 2.0,,. Developer Solaris Express Developer Edition System Requirements. 768MB. SPARC

More information

Chapter 1

Chapter 1 3 Oracle 설치 Objectives Download Oracle 11g Release 2 Install Oracle 11g Release 2 Download Oracle SQL Developer 4.0.3 Install Oracle SQL Developer 4.0.3 Create a database connection 2 Download Oracle 11g

More information

chapter4

chapter4 Basic Netw rk 1. ก ก ก 2. 3. ก ก 4. ก 2 1. 2. 3. 4. ก 5. ก 6. ก ก 7. ก 3 ก ก ก ก (Mainframe) ก ก ก ก (Terminal) ก ก ก ก ก ก ก ก 4 ก (Dumb Terminal) ก ก ก ก Mainframe ก CPU ก ก ก ก 5 ก ก ก ก ก ก ก ก ก ก

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

00 SPH-V6900_....

00 SPH-V6900_.... SPH-V6900 사용설명서 사용전에 안전을 위한 경고 및 주의사항을 반드시 읽고 바르게 사용해 주세요. 사용설명서의 화면과 그림은 실물과 다를 수 있습니다. 사용설명서의 내용은 휴대전화의 소프트웨어 버전 또는 KTF 사업자의 사정에 따라 다를 수 있으며, 사용자에게 통보없이 일부 변경될 수 있습니다. 휴대전화의 소프트웨어는 사용자가 최신 버전으로 업그레이드

More information

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper Windows Netra Blade X3-2B( Sun Netra X6270 M3 Blade) : E37790 01 2012 9 Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs,

More information

P/N: (Dec. 2003)

P/N: (Dec. 2003) P/N: 5615 1451 0014 (Dec. 2003) iii 1... 1...1...1...2...3...4...4...5...6...6...7...8...8...8...9...11...11...11 2... 13...13...14...14...15...16...17...18 ... 19... 20... 20... 22... 22... 24 3 Pocket

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

The_IDA_Pro_Book

The_IDA_Pro_Book The IDA Pro Book Hacking Group OVERTIME force (forceteam01@gmail.com) GETTING STARTED WITH IDA IDA New : Go : IDA Previous : IDA File File -> Open Processor type : Loading Segment and Loading Offset x86

More information

untitled

untitled R&S Power Viewer Plus For NRP Sensor 1.... 3 2....5 3....6 4. R&S NRP...7 -.7 - PC..7 - R&S NRP-Z4...8 - R&S NRP-Z3... 8 5. Rohde & Schwarz 10 6. R&S Power Viewer Plus.. 11 6.1...12 6.2....13 - File Menu...

More information

Dialog Box 실행파일을 Web에 포함시키는 방법

Dialog Box 실행파일을 Web에 포함시키는 방법 DialogBox Web 1 Dialog Box Web 1 MFC ActiveX ControlWizard workspace 2 insert, ID 3 class 4 CDialogCtrl Class 5 classwizard OnCreate Create 6 ActiveX OCX 7 html 1 MFC ActiveX ControlWizard workspace New

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

untitled

untitled X-Ray FLUORESCENCE NON-DESSTRUCTIVE & NON-CONTAC COATING THICKNESS TESTER EX-3000 Ex WIN Ver.1.00 INSTRUCTION MANUAL ELEC FINE INSTRUMENTS CO., LTD 2-31-5 CHUO, NAKANO-KU, TOKYO, JAPAN PHONE : (03) 3365-4411

More information

H3050(aap)

H3050(aap) USB Windows 7/ Vista 2 Windows XP English 1 2 3 4 Installation A. Headset B. Transmitter C. USB charging cable D. 3.5mm to USB audio cable - Before using the headset needs to be fully charged. -Connect

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

휠세미나3 ver0.4

휠세미나3 ver0.4 andromeda@sparcs:/$ ls -al dev/sda* brw-rw---- 1 root disk 8, 0 2014-06-09 18:43 dev/sda brw-rw---- 1 root disk 8, 1 2014-06-09 18:43 dev/sda1 brw-rw---- 1 root disk 8, 2 2014-06-09 18:43 dev/sda2 andromeda@sparcs:/$

More information

Smart Power Scope Release Informations.pages

Smart Power Scope Release Informations.pages v2.3.7 (2017.09.07) 1. Galaxy S8 2. SS100, SS200 v2.7.6 (2017.09.07) 1. SS100, SS200 v1.0.7 (2017.09.07) [SHM-SS200 Firmware] 1. UART Command v1.3.9 (2017.09.07) [SHM-SS100 Firmware] 1. UART Command SH모바일

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

(specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements) 11 (location selection) 12 (storage bin) 12 (i

(specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements) 11 (location selection) 12 (storage bin) 12 (i SERVICE MANUAL N200M / N300M / N500M ( : R22) e-mail : jhyun00@koreacom homepage : http://wwwicematiccokr (specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements)

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

UNIST_교원 홈페이지 관리자_Manual_V1.0

UNIST_교원 홈페이지 관리자_Manual_V1.0 Manual created by metapresso V 1.0 3Fl, Dongin Bldg, 246-3 Nonhyun-dong, Kangnam-gu, Seoul, Korea, 135-889 Tel: (02)518-7770 / Fax: (02)547-7739 / Mail: contact@metabrain.com / http://www.metabrain.com

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

DioPen 6.0 사용 설명서

DioPen 6.0 사용 설명서 1. DioPen 6.0...1 1.1...1 DioPen 6.0...1...1...2 1.2...2...2...13 2. DioPen 6.0...17 2.1 DioPen 6.0...17...18...20...22...24...25 2.2 DioPen 6.0...25 DioPen 6.0...25...25...25...25 (1)...26 (2)...26 (3)

More information

1

1 MJL Technology, Ltd. / Logic Design 메모 메모 (Technical) Document No.: MJL-LD-AN-10 Author: 양창우 [cwyang@mjl.com] Version: 1.0 Date: 2001 년 3 월 30 일 Subject: LeonardoSpectrum 을사용하여 LPM Function 이사용된 Verilog-HDL

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM

HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM HX - Operation Manual MC / TC / CUT / QT 1. MDI I/O 1.1 MDI unit 1.2 (SOFT KEY) 1.3 (RESET KEY) 1.4

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

Microsoft Word - Automap3

Microsoft Word - Automap3 사 용 설 명 서 본 설명서는 뮤직메트로에서 제공합니다. 순 서 소개 -------------------------------------------------------------------------------------------------------------------------------------------- 3 제품 등록 --------------------------------------------------------------------------------------------------------------------------------------

More information

BSC Discussion 1

BSC Discussion 1 Copyright 2006 by Human Consulting Group INC. All Rights Reserved. No Part of This Publication May Be Reproduced, Stored in a Retrieval System, or Transmitted in Any Form or by Any Means Electronic, Mechanical,

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

ARMBOOT 1

ARMBOOT 1 100% 2003222 : : : () PGPnet 1 (Sniffer) 1, 2,,, (Sniffer), (Sniffer),, (Expert) 3, (Dashboard), (Host Table), (Matrix), (ART, Application Response Time), (History), (Protocol Distribution), 1 (Select

More information

ETL_project_best_practice1.ppt

ETL_project_best_practice1.ppt ETL ETL Data,., Data Warehouse DataData Warehouse ETL tool/system: ETL, ETL Process Data Warehouse Platform Database, Access Method Data Source Data Operational Data Near Real-Time Data Modeling Refresh/Replication

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를 제공합니다. 제품은 계속 업데이트되므로, 이 설명서의 이미지 및 텍스트는 사용자가 보유 중인 TeraStation 에 표시 된 이미지 및 텍스트와 약간 다를 수

소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를 제공합니다. 제품은 계속 업데이트되므로, 이 설명서의 이미지 및 텍스트는 사용자가 보유 중인 TeraStation 에 표시 된 이미지 및 텍스트와 약간 다를 수 사용 설명서 TeraStation Pro II TS-HTGL/R5 패키지 내용물: 본체 (TeraStation) 이더넷 케이블 전원 케이블 TeraNavigator 설치 CD 사용 설명서 (이 설명서) 제품 보증서 www.buffalotech.com 소개 TeraStation 을 구입해 주셔서 감사합니다! 이 사용 설명서는 TeraStation 구성 정보를

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

1

1 WebPACK ISE5.1i Manual Insight Korea Xilinx FAE Team 2003. 3. 10 WebPACK ISE 5.1i( 이하 WebPACK ) 은 Xilinx FPGA 나 CPLD 를쉽게디자인할수있게 하는 Free Design Software 로서 Design Entry, Synthesis, 그리고 Verification, Simulation

More information

슬라이드 1

슬라이드 1 / 유닉스시스템개요 / 파일 / 프로세스 01 File Descriptor file file descriptor file type unix 에서의파일은단지바이트들의나열임 operating system 은파일에어떤포맷도부과하지않음 파일의내용은바이트단위로주소를줄수있음 file descriptor 는 0 이나양수임 file 은 open 이나 creat 로 file

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

Microsoft PowerPoint - 기계공학실험1-1MATLAB_개요2D.pptx

Microsoft PowerPoint - 기계공학실험1-1MATLAB_개요2D.pptx 1. MATLAB 개요와 활용 기계공학실험 I 2013년 2학기 MATLAB 시작하기 이장의내용 MATLAB의여러창(window)들의 특성과 목적 기술 스칼라의 산술연산 및 기본 수학함수의 사용. 스칼라 변수들(할당 연산자)의 정의 및 변수들의 사용 방법 스크립트(script) 파일에 대한 소개와 간단한 MATLAB 프로그램의 작성, 저장 및 실행 MATLAB의특징

More information

Windows 네트워크 사용 설명서

Windows 네트워크 사용 설명서 Windows 네트워크 사용 설명서 (Wireless Manager mobile edition 5.5) 그림의 예로 사용된 프로젝터는 PT-FW300NTEA 입니다. 한국어 TQBH0205-5 (K) 목차 소프트웨어 라이센스 계약 3 무선 연결 사용 시 참고 사항 4 보안 관련 참고 사항 6 소프트웨어 요구 사항 12 시스템 요구 사항 12 Wireless

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

DocsPin_Korean.pages

DocsPin_Korean.pages Unity Localize Script Service, Page 1 Unity Localize Script Service Introduction Application Game. Unity. Google Drive Unity.. Application Game. -? ( ) -? -?.. 준비사항 Google Drive. Google Drive.,.. - Google

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L HXR-NX3D1용 3D 워크플로 가이드북 2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G Lens, Exmor, InfoLITHIUM, Memory

More information

ecorp-프로젝트제안서작성실무(양식3)

ecorp-프로젝트제안서작성실무(양식3) (BSC: Balanced ScoreCard) ( ) (Value Chain) (Firm Infrastructure) (Support Activities) (Human Resource Management) (Technology Development) (Primary Activities) (Procurement) (Inbound (Outbound (Marketing

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

thesis

thesis ( Design and Implementation of a Generalized Management Information Repository Service for Network and System Management ) ssp@nile nile.postech.ac..ac.kr DPE Lab. 1997 12 16 GMIRS GMIRS GMIRS prototype

More information

Week3

Week3 2015 Week 03 / _ Assignment 1 Flow Assignment 1 Hello Processing 1. Hello,,,, 2. Shape rect() ellipse() 3. Color stroke() fill() color selector background() 4 Hello Processing 4. Interaction setup() draw()

More information

- iii - - i - - ii - - iii - 국문요약 종합병원남자간호사가지각하는조직공정성 사회정체성과 조직시민행동과의관계 - iv - - v - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - α α α α - 15 - α α α α α α

More information

BH의 아이폰 추천 어플

BH의 아이폰 추천 어플 BH의 아이폰 추천 어플 정병훈 소개글 목차 1 [BH의 아이폰 필수 앱] Pulse - 뉴스/웹사이트/RSS 모아주는 앱 4 2 [BH의 아이폰 필수 앱] Dropbox - n스크린 파일 공유 앱 (문서, 사진, 동영상 등) 12 3 [BH의 아이폰 필수 앱] 파노라마 사진찍기 Photosynth 17 4 [BH의 아이폰 필수 앱] 연락처 동기화 네이버 주소록

More information

ODS-FM1

ODS-FM1 OPTICAL DISC ARCHIVE FILE MANAGER ODS-FM1 INSTALLATION GUIDE [Korean] 1st Edition (Revised 4) 상표 Microsoft, Windows 및 Internet Explorer는 미국 및 / 또는 다른 국가에서 Microsoft Corporation 의 등록 상표입 Intel 및 Intel Core

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

The Self-Managing Database : Automatic Health Monitoring and Alerting

The Self-Managing Database : Automatic Health Monitoring and Alerting The Self-Managing Database : Automatic Health Monitoring and Alerting Agenda Oracle 10g Enterpirse Manager Oracle 10g 3 rd Party PL/SQL API Summary (Self-Managing Database) ? 6% 6% 12% 55% 6% Source: IOUG

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

Chap06(Interprocess Communication).PDF

Chap06(Interprocess Communication).PDF Interprocess Communication 2002 2 Hyun-Ju Park Introduction (interprocess communication; IPC) IPC data transfer sharing data event notification resource sharing process control Interprocess Communication

More information

Massive yet Responsive Most Powerful Machines in Their Class. 02 Heavy Duty Turning Center Heavy Duty Turning Center 03 PUMA 600/700/800 1800 r/min (PUMA 600) 45 kw [Gear Box] PUMA 600/600L/600M/600LM

More information

1 Nov-03 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology

1   Nov-03 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology 1 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology wwwcstcom wwwcst-koreacokr 2 1 Create a new project 2 Model the structure 3 Define the Port 4 Define the Frequency

More information

11111111111111111111111111111111111111111111111111111111111111111111111111111

11111111111111111111111111111111111111111111111111111111111111111111111111111 서울시 금천구 가산동 448 대륭테크노타운 3차 301호 전화 : (02)838-0760 팩스 : (02)838-0782 메일 : support@gyrosoft.co.kr www.gyrosoft.co.kr www.gyro3d.com 매뉴얼 버전 : 1.00 (발행 2008.6.1) 이 설명서의 어느 부분도 자이로소프트(주)의 승인 없이 일부 또는 전부를 복제하여

More information

Oracle Apps Day_SEM

Oracle Apps Day_SEM Senior Consultant Application Sales Consulting Oracle Korea - 1. S = (P + R) x E S= P= R= E= Source : Strategy Execution, By Daniel M. Beall 2001 1. Strategy Formulation Sound Flawed Missed Opportunity

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

歯처리.PDF

歯처리.PDF E06 (Exception) 1 (Report) : { $I- } { I/O } Assign(InFile, InputName); Reset(InFile); { $I+ } { I/O } if IOResult 0 then { }; (Exception) 2 2 (Settling State) Post OnValidate BeforePost Post Settling

More information

- 이 문서는 삼성전자의 기술 자산으로 승인자만이 사용할 수 있습니다 Part Picture Description 5. R emove the memory by pushing the fixed-tap out and Remove the WLAN Antenna. 6. INS

- 이 문서는 삼성전자의 기술 자산으로 승인자만이 사용할 수 있습니다 Part Picture Description 5. R emove the memory by pushing the fixed-tap out and Remove the WLAN Antenna. 6. INS [Caution] Attention to red sentence 3-1. Disassembly and Reassembly R520/ 1 2 1 1. As shown in picture, adhere Knob to the end closely into the arrow direction(1), then push the battery up (2). 2. Picture

More information

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph 인터그래프코리아(주)뉴스레터 통권 제76회 비매품 News Letters Information Systems for the plant Lifecycle Proccess Power & Marine Intergraph 2008 Contents Intergraph 2008 SmartPlant Materials Customer Status 인터그래프(주) 파트너사

More information

Sena Device Server Serial/IP TM Version

Sena Device Server Serial/IP TM Version Sena Device Server Serial/IP TM Version 1.0.0 2005. 3. 7. Release Note Revision Date Name Description V1.0.0 2005-03-7 HJ Jeon Serial/IP 4.3.2 ( ) 210 137-130, : (02) 573-5422 : (02) 573-7710 email: support@sena.com

More information

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate ALTIBASE HDB 6.1.1.5.6 Patch Notes 목차 BUG-39240 offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG-41443 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate 한뒤, hash partition

More information