목차 7 장조합논리회로 1. 가 / 감산기 2. 비교기 3. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기 한국기술교육대학교전기전자통신공학부 1

Size: px
Start display at page:

Download "목차 7 장조합논리회로 1. 가 / 감산기 2. 비교기 3. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기 한국기술교육대학교전기전자통신공학부 1"

Transcription

1 목차 7 장조합논리회로. 가 / 감산기. 비교기. 디코더 4. 인코더 5. 멀티플렉서 6. 디멀티플렉서 7. 코드변환기 8. 패리티발생기 / 검출기

2 조합논리회로해석 v 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 n 개의입력 진조합이가능 입력 조합논리회로 출력 v 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 n 개의 진조합에대한각게이트의출력부울함수를표시한다. 진리표에의해각출력함수를간소화한다. 출력부울함수와진리표를분석하여논리회로의동작해석

3 조합논리회로설계 설계하려고하는회로의사양을분석하고, 분석된동작을실행하기위한함수를구한다. 조합논리회로를구성하는논리회로의설계과정. 주어진문제를분석한다. 입력변수, 출력변수그리고출력의변수명을결정 진리표를작성한후진리표로부터부울함수를구한다. 4 진리표에의해카르노맵또는그외방법으로간소화한다. 5 간소화된부울함수에의해논리회로를설계한다. 설계시목표사항 게이트의입력을최소화한다. 게이트의수를최소화한다. 논리회로의전파지연시간을최소화한다. 상호연결되는수를최소화한다

4 . 가 / 감산기가산기 반가산기와전가산기 반가산기 (Half Adder, HA) - 비트의 개의 진수를더하는논리회로. - 개의입력과출력으로구성. - 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 y C S : 연산수 : 합 올림수 S = XY + XY = X ÅY C = X Y 4

5 전가산기 (Full Adder, FA) 하위비트에서발생한올림수포함하여 입력비트들의합을구하는조합회로 개의입력과 개의출력으로구성됨 입력 - 피연산수, 연산수 y, 하위비트에서발생한입력올림수 z 출력 - 합 S(sum), 올림수 C(carry) : 하위비트올림수 : 연산수 : 합올림수 y z C S å S = (,,4,7) = 'y'z + 'yz'+ y'z' + yz = Å y Å z å C = (,5,6,7) = 'yz + y'z+ yz' + yz = y + z + yz = y + ( y)z Å HA 개의반가산기와 OR 게이트로구현 5

6 감산기감산기구성방법 방법 : 연산수의보수를피연산수와더하여구하는방법 ( 의보수사용 ) 방법 : 피연산수에서연산수를빼서구하는방법. 반감산기 (Half Subtractor, HS) : 개의 진수감산 입력 : 피감수, 감수 y 동작 : - y 출력 : 차 D (difference), 빌림수 B(Borrow) y B D D = XY + XY = X ÅY B = X Y 6

7 전감산기 (Full Subtractor, FS) 입력 - 피연산수, 연산수 y, 빌려준빌림수 z 동작 : -y-z 출력- 차 D, 빌림수 B y z B D å D = (,,4,7) = 'y'z + 'yz'+ y'z' + yz = y z Å å Å B = (,,,7) = 'y'z + 'yz'+ 'yz + yz = 'y + 'z + yz = 'y + ( y)'z Å B K map HS + OR 7

8 이진병렬가산기 병렬가산기- n개의 FA를사용하여 n 비트병렬덧셈수행 4 비트병렬가산기 4개의전가산기사용 하위비트 FA에서생성되는올림수출력은다음상위비트 FA의올림수입력으로연결 ß ripple carry adder y y y y C C C FA FA FA FA C C 4 S S S S 예 ) 4- 비트병렬가산기의다음입력에의한각 FA 출력의합과캐리는? y C i S C O y C i S C O y C i S C O C O y S overflow 8

9 진병렬가감산기 병렬 진가산기 / 감산기 진수의뺄셈 : 보수법을이용하여연산 병렬가산기에사용하는경우 : 보수는 y 를 NOT 연산한값과병렬가산기의올림수에논리 을입력하여 의보수를구한다. 4- 비트병렬가산 / 감산논리회로 y y y y S FA C FA C FA C FA C C 4 S S S S S = 가산기 S = 감산기 + y + y' + ß 의보수뺄셈 9

10 고속가산기 (high-speed-adder) v 아랫단에서윗단으로전달되는자리올림수때문에병렬가산기는속도가매우느리다는단점이있음. v 이것을해결하기위한방법으로 LAC (Look Ahead Carry) 회로를가진캐리예측가산기 (carry-look-ahead-adder, CLA) 를사용 C Y ) C out = Ci+ = X iyi + ( X i Å i i Xi Yi C = G + i+ i PC i i S i = X i ÅY i Å C i = P i Å C i G = i X i Y i P i = X i ÅY G: generate, P: propagate i v 4비트가산기 LAC C = G + P C = G + P C = G + P G P P C C + C C = G + PC = G + P( G + PG + PP C ) 4 = G + P G + P PG + P PP C = G + P C = G + P G + P P G + P P PG + P P PP C 모든 Ci 를 단논리 SOP 로구현 ß 동일한지연시간

11 v 캐리예측가산기는 S i, P i, G i 를발생시키는부분전가산기 (PFA) 와위의식 C, C, C, C 4 을발생하는캐리예측회로로구성 캐리예측기를이용한 4bit 병렬가산기

12 v 캐리예측발생기 IC 748 의회로 C n G P G P G P G P

13 IC 병렬가산기 748 : 4 비트이진전가산기, 전원핀 5, 접지핀 748A, 74LS8A : 4 비트이진전가산기 with LAC 748, 74LS8 : 748A 의 pin 을표준형으로배치 (with LAC) 74HC8 : 고속 CMOS 버전, TTL 양립 (with LAC) 병렬가산기의 cascading 연결 개이상의가산기를보다큰 진수덧셈을위해연속연결 8 비트병렬가산기회로

14 ALU IC ALU (Arithmetic Logic Unit) 논리및산술연산을수행 74LS8/HC8 ALU ALU 의확장 : 개의 748 를사용한 8 비트 adder 748 : 96 개의논리및산술연산을하는 ALU 4

15 BCD 가산기 두개의 BCD 수를더하여 BCD 로결과를출력하는회로 두 BCD 합의최대결과 : 9() ( 이전단캐리 ) = 9 이진가산기를이용하여합의결과가 9 이하이면그대로, 9 이상이면보정 (correction) Binary 덧셈결과와 BCD 와의관계 + - BCD 보정이필요한경우 : X= X = S 4 + S S + S S 이경우, 이진합의결과에 (6) 을더하여야한다. + 5

16 이진가산기를사용한 BCD 가산기 BCD 가산기구성회로 () 두 BCD A A A A 와 B B B B 의합 S 4 S S S S 를위한 4비트가산기 () 보정캐리 X를구하기위한논리회로 () X = 일때 () 에 을더하여 BCD 결과 을생성하는 4비트가산기 디지트 진수를덧셈하기위한종속연결 BCD 가산기 6

17 . 비교기 v 진비교기 (comparator) : 두개의 진수의크기를비교하는회로 q 비트비교기 입력 출력 X Y X=Y F X Y F X>Y F X<Y F 4 F X ÅY, F = X ÅY, F = XY F = =, 4 XY 7

18 비트비교기 입력출력 X Y X=Y X Y X>Y X<Y X X Y Y F F F F 4 F = X ÅY )( X Å ) F = ( X ÅY ) + ( X ÅY ) ( Y = XY + X Y Y XX Y F 4 = XY + X X Y + X YY F + 비트비교기회로 8

19 IC 7485 : 4 비트비교기 v 비교입력 : A -A, B -B, 확장입력 : I A>B, I A<B, I A=B v 출력 : O A>B, O A<B, O A=B 입력 출력 A, B A, B A, B A, B I A>B I A<B I A=B O A>B O A<B O A=B A >B X X X X X X A <B X X X X X X A =B A >B X X X X X A =B A <B X X X X X A =B A =B A >B X X X X A =B A =B A <B X X X X A =B A =B A =B A >B X X X A =B A =B A =B A <B X X X A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B A =B 9

20 IC 7485 비교기회로 O A=B =X X X X, Xi = Ai Bi O A>B = A B +X A B +X X A B +X X X A B O A<B = A B +X A B +X X A B +X X X A B 7485 를사용한 비트확장회로

21 . 디코더 (Decoder) n 개입력선의코드화된 진정보를최대 n 개의출력으로변환하는조합회로 일반적인디코더회로 N 개의입력과 M 개의출력 : N-to-M, NM N 입력에대하여 N 개의 입력조합으로 M N 입출력에버블 à low active 진디코더 : 개의입력과 4( ) 개의출력으로구성 X4 디코더논리회로 y D D D D y D = y D = y D = y D = y

22 q 인에이블입력을갖는 4 디코더 v 대부분의 IC 디코더들은인에이블 (enable) 입력이있어서회로를제어 v E= 일때만출력활성화 입력출력 E B A Y Y Y Y B A E Y Y Y Y 인에이블입력을갖는 4 디코더회로 Y Y = = EBA EBA Y Y = = EBA EBA 인에이블입력을갖는 4 디코더진리표와논리식 749 인에이블입력을가진 개의 4 디코더 IC

23 -to-8 decoder Binary-to-octal decoder/converter, -of-8 디코더 C B A O 7 O 6 O 5 O 4 O O O O Enable 입력을사용한디코더확장 인에이블입력으로디코더의모든출력을활성 / 비활성화 예 : -to-8 디코더의 입력 AND 게이트를전부 4 입력 AND 게이트로하고 4 번째입력에 enable 입력, E 를인가하면, E= 이면정상디코더동작 E= 이면모든출력은 enable 입력은디코더의확장시사용 Enable 입력을가지는 X4 디코더 개로구성된 X8 디코더 y Z Decoder A Enable Decoder B Enable D D D D D 4 D 5 D 6 D 7

24 74LS8 -to-8 디코더 NAND 출력 -> active low 출력 enable 입력 : E ', E ',(active low), E (active high) 디코더가활성화되려면 : E 'E 'E = 디코더의확장 4개의 74LS8과인버터를사용하여 -of- 디코더구성 -of- 디코더는 5-to- 디코더, 즉 5개의입력필요 748을 4개사용, 출력은 8개 4 = 의출력을 rename 748의 A i 입력과 E E 'E ' 를이용하여 5 입력 A 4 A A A A 생성 748의각소자 (Z -Z 4 ) 는 A 4 A 에인가된신호가각각,,, 일때차례로그디코더를활성화하고이때의A A A 값에따라출력신호중하나만활성화 4

25 Ø 4 디코더 5 개를이용한 46 디코더 4 개입력 A B C D E 4 디코더 E E E 4 디코더 4 디코더 4 디코더 Y Y Y Y Y 4 Y 5 Y 6 Y 7 Y 8 Y 9 Y Y 6 개출력 E 4 디코더 Y Y Y 4 Y 5 5

26 BCD to decimal 디코더 : to- 디코더, -of- 디코더 Output : low active BCD 무효입력 à출력이모두 high ( 비활성 ) 6

27 디코더를이용한조합회로구현 디코더는 n 개의입력에대하여 n 개의 AND/NAND 게이트로구성되는 minterm/materm 회로 디코더의외부에 OR 게이트를추가하면 Sum of minterms 회로구성 조합회로를구현할때출력함수들을 SOM/POM 으로표현 v 8 디코더를이용한경우 A B C 8 decoder m =M =A B C m =M =A B C m =M =A B C m =M =A B C m 4 =M 4 =A B C m 5 =M 5 =A B C m 6 =M 6 =A B C m 7 =M 7 =A B C A B C 8 decoder m =M =A+B+C m =M =A+B+C m =M =A+B+C m =M =A+B+C m 4 =M 4 =A+B+C m 5 =M 5 =A+B+C m 6 =M 6 =A+B+C m 7 =M 7 =A+B+C 8 디코더출력 8 디코더반전출력 7

28 디코더를이용한조합회로구현 예 ) 부울함수로부터 8 디코더를사용하여전가산기 (full adder) 회로구성 전가산기 자리이진수의덧셈기입력 :, y, z ( 캐리입력 ) 출력 : S( 합 ), C( 캐리 ) y z C S y z X8 디코더 S C 8

29 8 디코더를이용한조합회로구현예 F F = = å å m(,, 4, 5) m(, 5, 7) F F = = å å m(, 5, 6) m(, 7) A B C 8 decoder m m m m m 4 m 5 m 6 m 7 F F = = Õ Õ F F F F M (, 7) M (, 5, 7) F F = = Õ Õ M (,, 5) M (,, 4) 9

30 BCD to 7 세그먼트디코더 BCD 코드입력으로 7 세그먼트 LED 에 ~9 의숫자표시 각세그먼트의이름 - a, b, c, d, e, f, g 세그먼트의공통단자에따라 CA (common anode) - active low logic CC (common cathode) active high logic CA CC LED 구동전류 : 세그먼트당 ~4 ma ( 예 ) 전류제한저항계산 : 7 세그먼트 LED 형태의각세그먼트는정상적인밝기에대해.7V, ma에서동작한다. 세그먼트당 ma를흐르게하는전류 - 제한저항값을구하라. R = (5 -.7)V / ma = Ω 각세그먼트의밝기를일정하게하려면각세그먼트당저항연결

31 입력출력 D C B A 7- 세그먼트디코더진리표 (CA) a b c d e f g

32 카르노맵으로각출력구하기 BA DC BA DC BA DC a = DCBA + C A b = CBA + CB A = C( B Å A) c = CBA BA DC BA DC BA DC d = CBA + CBA + CBA e = A + CB f = BA + CB + DCA

33 BA DC g = DCB + CBA CA 형회로도 a b c d e f g

34 7446/7447/7448 BCD-to-7 segment decoder/driver 7446, 7447 active low output, CA 형, open collector (OC) 7448, 7449(OC) - active high output, CC 형 7- Segment Decoder 7447 진리표 4

35 7- 세그먼트의 LT, RBI, BI/RBO 사용예 5V - - COM Common Anode 7-Segment dot R : ~Ω 전후 R7 R7 R8 R7 R7 a b c d e f g a b c d e f g a b c d e f g a b c d e f g a b c d e f g RBI BI/RBO RBI BI/RBO RBI BI/RBO RBI BI/RBO RBI BI/RBO LT LT LT LT LT A B C D A B C D A B C D A B C D A B C D Lamp test 5

36 4. 인코더 (Encoder) 최대 M (<= N ) 개의입력과 N 개의출력으로구성되며, M 개의입력중한개의입력이동작하여그에대응하는 n 비트의출력코드를생성하는조합회로 일반적인인코더 M-to-N 인코더, M <= N 디코더의반대동작 인코더블록도예 (-to-4) 4-to- 인코더 4 개의입력과 개의출력으로구성, 4 개의입력에따라 진조합출력 진리표와논리회로 D D D 8 D 9... encoder W X Y Z 입력 출력 D D D D X Y D D D D X = D + D Y = D + D X = D + + D, Y = D D 6

37 8-to- 인코더 8 개의입력에대한 비트의코드생성 D D D X=D 4 +D 5 +D 6 +D 7 D D 4 Y=D +D +D 6 +D 7 D 5 D 6 D 7 Z=D +D +D 5 +D 7 입력이 low active 인경우의 8-to- encoder input : active low output :active high O = A 4 '+A 5 '+A 6 '+A 7 ' O = A '+A '+A 6 '+A 7 ' O = A '+A '+A 5 '+A 7 ' A ' 입력 floating 다른모든입력이 일때 A ' 입력에상관없이출력 <- ambiguity 인코더에 A' 와 A5' 입력이동시에 일때인코더의출력은? 논리식에따라 A' 와 A5' 를포함하는모든출력이 이되므로출력은 이결과는입력의어느경우에도맞지않는출력이다. <- ambiguity 7

38 우선순위 (Priority) 인코더 여러개의입력이동시에인가되었을때우선순위 (priority) 를주어높은우선순위를가지는입력값에대한코드만출력 ß ambiguity 제거 앞의회로에서높은자리에우선순위를부여하면 A 5 ' 만유효하게입력받아출력은 7448, 74LS48, 74HC48 : 8-to- priority encoder 입력및출력은모두 low active GS 출력은 ambiguity 을제거 : GS= 유효출력 EI와 EO는확장연결시사용 EI=H, 인코딩입력에상관없이출력코드 =, EO=H EI=L, 인코딩입력의유효유무에따라 GS와 EO 결정 고순위입력인가시에저순위입력은 don t care 7448 의확장연결 : 6-to-4 priority 인코더 8

39 Decimal-to-BCD priority encoder : 7447 진수를 BCD 코드로변환 : 개입력 (9 개만사용 ), 4 개출력 active low 입력및출력 핀배치도 스위치인코더 7447 을이용, 개의키보드입력을받아 BCD 코드로변환하는회로 예 : 스위치를누르면 인가, 개방하면 pullup 저항을통해 에연결 두개이상스위치가동시에눌러지면높은자리 ( 고순위 ) 키로처리 출력은반전하여정상 BCD 로변환 9

40 7458 quad 인코더 q 7458 : 인코더 / 멀티플렉서가 4개내장 입력 출력 G A /B Y X A B 진리표 회로도 블럭도 4

41 5. 멀티플렉서 데이터선택기 (data selector) - 여러개의데이터입력중하나를선택하여출력일반적인 MUX : N-to-, out of N N개의입력중하나를선택하기위한선택선 : M, N <= M input MUX : -to- MUX, ( MUX) S S F D D 데이터입력 D, D 선택입력 S, 출력 F = D S' + D S D D F 4

42 4-to- MUX v 4(= ) 개의입력중의하나를선택선 S 와 S 에입력된값에따라서출력으로보내주는조합회로 선택선 출력 S S 회로도 S S F D D D D D D F = S + SD + SSD + SSD SSD D F D 4

43 8-to- MUX v 8(= ) 개의입력중의하나를출력으로보내주는조합논리회로 S S S 선택선 출력 S S S F D D D D D 4 D 5 D 6 D 7 D D D D D 4 D 5 F F = S + S S S D + S S S D S S D 5 + S S S D + S S S D + S S S D 6 + S S S D + S S S D 4 7 D 6 D 7 회로도 4

44 745 : 8-to- MUX 8-to- MUX, 745 enable input (low enable, E) 개의선택선 : S S S 정규및반전출력 : Z, Z' - 개의 745 을사용한 6-to- MUX 회로 S =, 위의 745 동작, S S S 값에따라입력 I 7 - I 중하나를출력 S =, 아래 745 동작, S S S 값에따라입력 I 5 - I 8 중하나를출력 44

45 7457 : Quad -to- MUX 4 개의 -to- MUX, with low enable 두개의입력군 (input nibble) 중하나를선택, 4 비트데이터 ( 니블 ) 연결회로에많이사용 SELECT = Zi = Xi, i = - = Zi = Yi SELECT y y y y Z Z Z Z 45

46 MUX 확장 q 4 멀티플렉서 5 개를이용한 6 멀티플렉서 D D D 4 MUX D s s D 4 D 5 D 6 4 MUX D 7 6 개입력 s s 4 MUX F D 8 D 9 D 4 MUX s s D s s D D D 4 4 MUX 4 개선택선 D 5 S S S S s s 46

47 MUX 를사용한논리회로구현 진리표에서직접논리기능을구현하는데사용 선택입력은논리의입력변수로사용 각데이터입력은진리표를만족하기위한 또는 에연결 å F( A, B, C) = m(,, 5, 7) 를 8 멀티플렉서로구현하는경우 v 개의선택선을입력 A, B, C 로사용 A B C F 5V (D ) (D ) (D ) (D ) (D 4 ) (D 5 ) (D 6 ) (D 7 ) D D D D D 4 D 5 D 6 D 7 8 MUX S S S F 진리표 A B C 회로도 47

48 MUX 를사용한논리회로구현 다른방법 : 입력논리함수인경우 à (-) 개의선택선을가진 MUX로구현가능 4-to- MUX 사용으로 입력함수구현가능 예 : Z(C,B,A) = Σm(,,7) - 입력 BA 신호를선택선 S S 에연결 ( 신호순서주의!) - 입력 C 신호에대한 implementation table 작성 C B A Z 구현표의열은입력선에인가할신호의반전및정규열구성 행에는선택선에인가할신호의이진값차례로구성 총 N 개의 minterm 을나타낼수있는항이생긴다. 이항에진리표에서 이되는 minterm 을원으로표시 각열의단위로원이둘다 (C 와 C') 그려지면, 원이둘다없으면, 원이 C' 행에만있으면 C', C 행에만있으면 C 로표시 - 최종회로 Z= C'B'A+C'BA'+CBA +CBA = C'B'A+BA'+CBA <- 진리표만족 HW - 전가산기회로를 4-to- MUX 로구현 C C I I I I 4 input MUX S S B A B A BA BA I I I I C C C C MUX입력에인가될신호값 Z B A 48

49 6. 디멀티플렉서 (DeMUX) 멀티플렉서의역동작 데이터분배기 하나의입력정보를 n 개의출력선중하나로전송하며특정출력의선택은 n 개의선택선에의해제어 일반적인디멀티플렉서 : -to-n N 개의출력으로분배하기위한선택선이 M 이라면 N M 인에이블을가진디코더를 DeMUX 로사용 4 디코더 4 디멀티플렉서 - 디코더를 DEMUX 로사용 748 디코더를 DEMUX 사용예인에이블 E ' 은데이터입력 I 로사용, A,A,A 입력은선택선으로사용 -to-8 디멀티플렉서 demultipleer D D D D D 4 D 5 D 6 D 7 S S S 49

50 MUX-DeMUX 응용회로 안전감시시스템많은문의개폐가필요한곳을감시하는공장에서안전감시의경우를고려각문은스위치의상태에따라제어. 감시소에설치된원거리모니터판의각 LED에스위치상태표시 MUX/DEMUX를이용한감시시스템 - 8개의문을가진감시시스템 8개의문스위치가 MUX 입력으로문이열릴때, 문이닫힐때 MOD-8 카운터 ( 부터 까지순차적으로카운팅 ) 출력을 MUX와 DEMUX의선택선에연결, DEMUX의각출력은 LED에연결되어출력이 일때 ON 문 6 이개방 : I 6 =, Z'=, count= 일때 O 6 '= => LED 6 ON 문 가닫힘 : I =, Z'=, count= 일때 O '= => LED OFF 5

51 5 7. 코드변환기 (code converter) 그레이코드 à 이진코드변환 입력 : 그레이코드 4 자리 G,G,G,G 출력 : 이진코드 4 자리 B,B,B,B 진리표작성 논리최소화 그레이코드 / 진수의변환과정. 그레이코드의첫번째비트는이진코드의첫번째비트. 결과의이진코드비트와이웃하는오른쪽의그레이코드비트를 XOR 하면각각그레이코드. 번과같은방법으로모든자리수를반복 그레이코드 / 진변환회로 진코드 / 그레이코드변환회로,,, G B B G B B G B B G B Å = Å = Å = =,,, B B G B B G B B G B G Å = Å = Å = =

52 BCD 코드의 4 코드변환 입력 : BCD 코드 4자리 w,,y,z 출력 : 4코드 4자리 a, b, c, d 진리표작성 : 사용하지않는입력 6개는 don't care 논리최소화 : 출력 a,b,c,d, 에대한각카르노맵최소화 논리도작성 y w BCD 코드입력 4 코드출력 w y z a b c d z a=w+z+y b=w+z'+y w y a z b c c=w+'y+y'z d=z d 5

53 8. 패리티발생기와패리티검출기 4 비트데이터에대한짝수패리티발생기 (generator) 회로설계 출력짝수패리티비트, P E 진리표와부울식유도 P E = D 'D 'D 'D + D 'D 'D D ' + D 'D D 'D ' + D 'D D D + D D 'D 'D ' + D D 'D D + D D D 'D + D D D D ' = D 'D '(D 'D + D D ') + D 'D (D 'D ' + D D ) + D D '(D 'D ' + D D ) + D D (D 'D + D D ') = D 'D '(D D ) + D 'D (D D )' + D D '(D D )' + D D (D D ) = (D D ) (D 'D '+ D D ) + (D D )'(D 'D + D D ') = (D D )(D D )' + (D D )'(D D ) = D D D D = ((D D ) D ) D <-- XOR 사용, 개의 XOR 게이트사용 = ((D D ) (D D )) <-- better design 홀수패리티발생기 P O = ((D D ) (D D ))' D D D D P E P P E 카르노맵 전송시 4 비트의데이터 + 패리티비트의 5 비트를함께전송홀수패리티나그외어떤수의추가비트라도사용가능 5

54 패리티검출기 (checker) 짝수패리티검출기진리표 5 비트입력 PD D D D 출력 : if error, C E =, no error C E = 부울식유도 C E = P D D D D ß 4 개의 XOR 게이트사용 Odd 패리티사용회로 4 비트데이터에대한생성기 P O = (D D D D )' <= XNOR 5 비트검출기회로 C O = (P O D D D D )' Even function 과 Odd function 짝함수 - 입력에포함된 의개수가 을포함하여짝수개인함수 홀함수 - 입력에포함된 의개수가홀수개인함수 예 : 짝수패리티발생기및검출기는홀함수 홀수패리티발생기및검출기는짝함수 짝함수와홀함수는서로 complement 함수이다. n 입력짝함수와홀함수의 minterm 개수는각각 n / P = P = P = P = D D D D D D D D C E 짝함수카르노맵 C E 54

55 8 비트패리티발생 / 검출기 짝수패리티발생회로 홀수패리티발생회로 8 비트직렬회로에서의짝수 / 홀수패리티발생 IC 748-9비트홀수 / 짝수패리티발생과검출 I =, 패리티발생기 I = P, 패리티생성기 55

7장 조합 논리 회로

7장 조합 논리 회로 7 장조합논리회로 7. 조합논리회로해석 조합논리회로 과거의입력에상관없이현재의입력값에의해출력이결정되는회로 n 개의입력변수에의해 2 n 개의입력 2 진조합이가능 입력 조합논리회로 출력 조합회로해석 주어진논리회로로부터부울함수와진리표를구한후, 논리회로의동작을해석. 조합회로해석과정 입 / 출력에대한변수의수와변수명을결정한다. n 개의입력변수에대해 2 n 개의 2 진조합에대한각게이트의출력부울함수를표시한다.

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다.

1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 1. 조합 (combinational) 논리회로에대해설명한것은? < 가 > 가출력신호가입력신호에의해서만결정되는논리회로이다. 나플립플롭과같은기억소자를갖고있는논리회로이다. 다출력신호가입력신호와현재의논리회로의상태에의해결정되는논리회로이다. 라기억능력을가진논리회로이다. 2. 다음설명중조합논리회로의특징으로옳지않은것은? < 나 > 가입 출력을갖는게이트의집합으로출력값은

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

Microsoft PowerPoint - 부호기와 복호기.PPT

Microsoft PowerPoint - 부호기와 복호기.PPT 논리회로실험부호기와복호기 2005. 5. 3. 부호기와복호기란? 이론실험내용 개요 Encoder & Decoder 서로다른부호간의변환에사용되는것으로디지털신호를압축하거나전송시깨지지않도록바꾸는등여러가지목적에의해부호화라는장치와부호화되어전송되어온신호를다시원래의디지털신호로복호하는장치들을말한다. CODEC(enCOder DECoder) 이라고도한다. 기타 10진 to

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

6장 부울 함수의 간소화

6장 부울 함수의 간소화 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term을감소하거나 literal를감소한다. term은게이트의수, literal은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

Microsoft PowerPoint - 제06장.ppt [호환 모드]

Microsoft PowerPoint - 제06장.ppt [호환 모드] 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로 9 장동기순차회로 동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로분류. v v v 동기순차회로 : 클록펄스에의해서동작하는회로 비동기순차회로

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

개요 l 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 à 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. l 논리회로의동작속도향상, 소비전력감소등효율

개요 l 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 à 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. l 논리회로의동작속도향상, 소비전력감소등효율 6 장부울함수의간소화 개요 l 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 à 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. l 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 l 논리회로를간소화하는방법 논리회로자체를간소화하는방법

More information

개요 l 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 à 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. l 논리회로의동작속도향상, 소비전력감소등효율

개요 l 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 à 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. l 논리회로의동작속도향상, 소비전력감소등효율 6 장부울함수의간소화 개요 l 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 à 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. l 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 l 논리회로를간소화하는방법 논리회로자체를간소화하는방법

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

6 장부울함수의간소화

6 장부울함수의간소화 6 장부울함수의간소화 l l l 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 à 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

3 장디지털코드 1. BCD 코드와 3초과코드 2. 다양한 2진코드들 3. 그레이코드 4. 에러검출코드 5. 영숫자코드 한국기술교육대학교전기전자통신공학부전자전공 1

3 장디지털코드 1. BCD 코드와 3초과코드 2. 다양한 2진코드들 3. 그레이코드 4. 에러검출코드 5. 영숫자코드 한국기술교육대학교전기전자통신공학부전자전공 1 3 장디지털코드. BC 코드와 3초과코드 2. 다양한 2진코드들 3. 그레이코드 4. 에러검출코드 5. 영숫자코드 BC COE q 숫자, 글자, 단어등이어떤특별한기호 (symbol) 들로표현되어질때, 이를부호화 (encode) 라하고그기호들을코드 (code) 라한다. q BC (Binary Coded ecimal) 코드 (2 진화 진코드, 842 코드 ) -

More information

5 장부울대수

5 장부울대수 5 장부울대수 5.1 부울대수 ã 부울대수 (boolen lgebr) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No Open Swith 논리 1 True On High Yes Closed

More information

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 -

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Chapter 2 메모리와프로그램논리장치 반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Ø 접근방법에의한분류 v RAM(Random Access Memory) : 접근시간이어느위치나동일하게걸리는메모리형태

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No

5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No 5 장부울대수 5.1 부울대수 ã 부울대수 (oolen lger) 를근거로한스위칭이론 (swithing theory) 은논리설계에있어서이론적인근거가되는수학적체계. ã 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 Flse Off Low No Open Swith 논리 1 True On High Yes Closed swith

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

Microsoft PowerPoint - 제05장.ppt [호환 모드]

Microsoft PowerPoint - 제05장.ppt [호환 모드] Chapter 05 부울대수 1. 부울대수 부울대수 (boolean algebra) 를근거로한스위칭이론 (switching theory) 은논리설계에있어서이론적인근거가되는수학적체계. 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 False Off Low No Open Switch 논리 1 True On High Yes

More information

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드]

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드] 컴퓨터구조 강의노트 #8: Chapter 9: 컴퓨터산술 2008. 5. 8. 담당교수 : 조재수 E-mail: jaesoo27@kut.ac.kr 1 컴퓨터시스템구조론 제9장컴퓨터산술 (Computer Arithmetic) 2 1 핵심요점들 컴퓨터산술에있어서두가지주요관심사는수가표현되는방법 (2진수형식 ) 과기본적인산술연산들 ( 더하기, 빼기, 곱하기, 나누기

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Computer Architecture CHAPTER 컴퓨터산술과논리연산 제 3 장 컴퓨터산술과논리연산 3.1 ALU의구성요소 3.2 정수의표현 3.3 논리연산 3.4 시프트연산 3.5 정수의산술연산 3.6 부동소수점수의표현 3.7 부동소수점산술연산 3.1 ALU 의구성요소 산술연산장치 : 산술연산들 (+, -,, ) 을수행 논리연산장치 : 논리연산들 (AND,

More information

Microsoft PowerPoint - dc_ch3 [호환 모드]

Microsoft PowerPoint - dc_ch3 [호환 모드] Chapter 3 Karnaugh Maps 명제 진리표디지털시스템논리회로 Logic map K-map 부울함수 : Switching Expressions and Logic Maps 논리적인접 * 오직 1비트만이다른입력변수의두조합을논리적으로인접하다고함 * [ 예 ](x 와 x ) x), (xy 와 x y) xy), (xyz 와 xy z) z), (abcd 와

More information

Computer Architecture

Computer Architecture 정수의산술연산과부동소수점연산 정수의산술연산부동소수점수의표현부동소수점산술연산 이자료는김종현저 - 컴퓨터구조론 ( 생능출판사 ) 의내용을편집한것입니다. 3.5 정수의산술연산 기본적인산술연산들 2 2 3.5.1 덧셈 2 의보수로표현된수들의덧셈방법 두수를더하고, 만약올림수가발생하면버림 3 3 병렬가산기 (parallel adder) 덧셈을수행하는하드웨어모듈 4- 비트병렬가산기와상태비트제어회로

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수

1) 8 진수를 10 진수로변환 - 진수에자릿수에서 1 을뺀숫자를지수로한후해당숫자와곱해주는방식으로 10 진수로변환 2) 2 진수를 10 진수로변환 - 10 진수의숫자를해당진수로계속나누어나머지들을역순으로읽음. - 분수나소수인경우에는곱셈의방법으로구할수있음. 3) 10 진수 2 주차 3 차시수의표현과연산 학습목표 1. 진법과수의구성에대해설명할수있다. 2. 논리회로에대해설명할수있다. 학습내용 1 : 진법과수의구성 - 우리는 10 진수체계안에서살고있다. 10 진수체계는한단위에서 0~9 까지가면한자리왼쪽에 1 을더하여다시 0 이되는방식. 1. 진법과수의구성 * 10진법 : 0~9까지사용하며 10을한자리의기본단위로하는진법 * 2진법 :

More information

기본서(상)해답Ⅰ(001~016)-OK

기본서(상)해답Ⅰ(001~016)-OK 1 1 01 01 (1) () 5 () _5 (4) _5_7 1 05 (5) { } 1 1 { } (6) _5 0 (1), 4 () 10, () 6, 5 0 (1) 18, 9, 6, 18 1,,, 6, 9, 18 01 () 1,,, 4, 4 1,,, 4, 6, 8, 1, 4 04 (1) () () (4) 1 (5) 05 (1) () () (4) 1 1 1 1

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

중간고사

중간고사 중간고사 예제 1 사용자로부터받은두개의숫자 x, y 중에서큰수를찾는알고리즘을의사코드로작성하시오. Step 1: Input x, y Step 2: if (x > y) then MAX

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6347E36B0AD20B9AEC1A62BC1A4B4E42E687770>

<C0FCC0DAB0E8BBEAB1E2B1B8C1B6347E36B0AD20B9AEC1A62BC1A4B4E42E687770> P.120 기 출 문 제 9904 9908 0010 0109 1. 정보의단위로가장적은것은? 가. Byte 나. Word 다. Bit 라. Record 0005 0103 0605 2. 4비트로나타낼수있는정보단위는? 가. Nibble 나. Character 다. Full-Word 라. Double-Word 0007 3. 다음정보의단위중하위의개념에서상위의개념으로올바르게나열된것은?

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

. 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되

. 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되 Chapter 2 메모리와프로그램논리장치 . 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되는데이터의통로. 메모리 MAR 입력주소 (n 비트

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

Microsoft PowerPoint - 제12장.ppt [호환 모드]

Microsoft PowerPoint - 제12장.ppt [호환 모드] Chapter 2 메모리와프로그램논리장치 . 메모리의구조. 메모리개요 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되는데이터의통로. MAR 입력주소 (n 비트 ) 메모리 2

More information

Video Stabilization

Video Stabilization 조합논리회로 2 (Combinational Logic Circuits 2) 2011 6th 강의내용 패리티생성기와검출기 (Parity generator & Checker) 인에이블 / 디제이블회로 (Enable/Disable Circuits) 디지털집적회로의기본특성 (Basic Characteristics of Digital ICs) 디지털시스템의문제해결 (Troubleshooting

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 CHAPTER 9 둘중하나선택하기 관계연산자 두개의피연산자를비교하는연산자 결과값은참 (1) 아니면거짓 (0) x == y x 와 y 의값이같은지비교한다. 관계연산자 연산자 의미 x == y x와 y가같은가? x!= y

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

MAX+plusⅡ를 이용한 설계

MAX+plusⅡ를 이용한 설계 Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT 게이트들로이루어진멀티플렉서기능의논리회로구현멀티플렉서 : 여러개의입력중하나를선택하여출력하는기능모듈입력 s=: 단자 a 의값이단자 z 로출력입력 s=: 단자 b 의값이단자 z 로출력 File name

More information

Microsoft Word - Experiment 5.docx

Microsoft Word - Experiment 5.docx Experiment 5. Use of Generic Array Logic Abstract 본실험에서는임의의복잡한회로를구현하기위한방법으로수업시간에배운 Programmable Logic Device(PLD) 를직접프로그램하여사용해보도록한다. 첫째로, 본실험에서는한번프로그램되면퓨즈를끊는방향으로만수정할수있는 Programmable Array Logic을대신하여, 재생가능한

More information

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 (   ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각 JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( http://java.sun.com/javase/6/docs/api ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각선의길이를계산하는메소드들을작성하라. 직사각형의가로와세로의길이는주어진다. 대각선의길이는 Math클래스의적절한메소드를이용하여구하라.

More information

chap7_ohp.hwp

chap7_ohp.hwp 제 7 장카운터와레지스터 (Counters and Registers) 7-1 비동기( 리플) 카운터리플카운터의회로연결및동작 : 모든 JK 입력은 1로연결하여토글모드로동작클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화모든 clear 입력은동시에연결각

More information

목 차 1. 공통공시 총괄 1 2. 살림규모 6 2-1 세입결산 3 2-2 세출결산 5 2-3 중기지방재정계획 7 3. 재정여건 8 3 1 재정자립도 8 3 2 재정자주도 9 3-3 재정력지수 10 3-4 통합재정수지 11 4. 채무 및 부채 12 4-1 지방채무 현황

목 차 1. 공통공시 총괄 1 2. 살림규모 6 2-1 세입결산 3 2-2 세출결산 5 2-3 중기지방재정계획 7 3. 재정여건 8 3 1 재정자립도 8 3 2 재정자주도 9 3-3 재정력지수 10 3-4 통합재정수지 11 4. 채무 및 부채 12 4-1 지방채무 현황 2014년도 연천군 지방재정공시 연 천 군 목 차 1. 공통공시 총괄 1 2. 살림규모 6 2-1 세입결산 3 2-2 세출결산 5 2-3 중기지방재정계획 7 3. 재정여건 8 3 1 재정자립도 8 3 2 재정자주도 9 3-3 재정력지수 10 3-4 통합재정수지 11 4. 채무 및 부채 12 4-1 지방채무 현황 12 4 1-1지방채발행 한도액 및 발행액 14

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

Microsoft PowerPoint - CHAP-03 [호환 모드]

Microsoft PowerPoint - CHAP-03 [호환 모드] 컴퓨터구성 Lecture Series #4 Chapter 3: Data Representation Spring, 2013 컴퓨터구성 : Spring, 2013: No. 4-1 Data Types Introduction This chapter presents data types used in computers for representing diverse numbers

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

Siemens

Siemens SIEMENS () 2004 7 Updated 2004 DEC 09 1. 4 1.1 4 1.2 4 2. 5 2.1 5 2.2 6 2.3 6 2.4 7 3. 8 3.1 50/60 Hz DIP 8 4. 9 4.1 420 9 4.2 420 9 4.3 (CB) 10 5. / () 11 5.1 11 5.2 : P0003 12 6. 13 6.1 13 6.2 15 6.2.1

More information

온습도 판넬미터(JTH-05) 사양서V1.0

온습도 판넬미터(JTH-05)  사양서V1.0 온습도 조절기 Model:JTH-05 1. 제품 사양. [제품 구분] JTH-05A(입력 전원 AC), JTH-05D(입력 전원 DC) [전원 사양] JTH-05A 입력 전압 출력 전원 소비 전력 JTH-05D AC 90~240V DC 10~36V 12Vdc / Max.170mA Max.2W [본체 사이즈] ~ 온/습도 범위(본체): 사용 [0 ~ 50, 85%RH

More information

(001~006)개념RPM3-2(부속)

(001~006)개념RPM3-2(부속) www.imth.tv - (~9)개념RPM-(본문).. : PM RPM - 대푯값 페이지 다민 PI LPI 알피엠 대푯값과산포도 유형 ⑴ 대푯값 자료 전체의 중심적인 경향이나 특징을 하나의 수로 나타낸 값 ⑵ 평균 (평균)= Ⅰ 통계 (변량)의 총합 (변량의 개수) 개념플러스 대푯값에는 평균, 중앙값, 최 빈값 등이 있다. ⑶ 중앙값 자료를 작은 값부터 크기순으로

More information

슬라이드 1

슬라이드 1 HD-SDI, HDMI Matrix 소개 2016. 01.21 기술연구소 DK VASCOM 영상제작송출시스템 - 대경바스컴의영상제작송출시스템블럭다이어그램 입력부영상분배 / 영상제작송출부 HDMI/ HD-SDI Digital 3D Studio Mixing Master HSM-3005AV HDMI/ HD-SDI ATM3101HS NTSC Modulator ATC3108S

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

13일등예감수학1-1정답(077~120)

13일등예감수학1-1정답(077~120) - ~8 0 00,,, 00,, 8, 9, 0 00 00,,, 9 00,,,, 9 00 00 008 009 0 00 8 0 0 fi 0 0 0 9fi 0 0 fl 08 _ 09 _ 00 _ _ 0 _ _ 0 { } ~ 0 _ _ 0 0 _ _ fi _ 0,, 0,,, 8 08,, 9 09, 00,,,,, 8,, 0,,, 0,, 0 0 0 0 0 0, 0, 08,,

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

2012회계연도 고용노동부 소관 결 산 검 토 보 고 서 세 입 세 출 결 산 일 반 회 계 농 어 촌 구 조 개 선 특 별 회 계 에 너 지 및 자 원 사 업 특 별 회 계 광 역 지 역 발 전 특 별 회 계 혁 신 도 시 건 설 특 별 회 계 기 금 결 산 고 용 보 험 기 금 산 업 재 해 보 상 보 험 및 예 방 기 금 임 금 채 권 보 장 기 금 장

More information

SNU =10100 =minusby by1000 ÄÄto0.03exÄÄto0.03exÄÄ=10100 =minusby by1000 Ç»to0.03exÇ»to0.03exÇ»=10100 =minusby by1000 ÅÍto0.0

SNU =10100 =minusby by1000 ÄÄto0.03exÄÄto0.03exÄÄ=10100 =minusby by1000 Ç»to0.03exÇ»to0.03exÇ»=10100 =minusby by1000 ÅÍto0.0 차례 SNU 046.016 컴퓨터과학이여는 세계 (Computational Civilization) Part Prof. Kwangkeun Yi Department of Computer Science & Engineering 이전 다음 1 400년의 축적 2 그 도구의 실현 3 SW, 지혜로 짓는 세계 4 응용: 인간 지능/본능/현실의 확장 또다른 100여년의

More information

[상반기 결산] ①아파트

[상반기 결산] ①아파트 Ⅰ. 상반기 부동산시장 결산 1. 아파트 가격 동향 2. 아파트 투자수익률 3. 재건축 시장 동향 4. 분양권 시장 동향 5. 아파트 입주 물량 1 1. 아파트 가격 동향 올해 상반기 주택시장은 3.30부동산대책 및 주택담보대출 강화, 판교분양, 버블세븐 논란, 부녀회 가격담합 등으로 격동적인 모습을 보였다. 전세시장도 학군수요와 쌍춘년에 따른 결 혼 수요

More information

설계란 무엇인가?

설계란 무엇인가? 금오공과대학교 C++ 프로그래밍 jhhwang@kumoh.ac.kr 컴퓨터공학과 황준하 6 강. 함수와배열, 포인터, 참조목차 함수와포인터 주소값의매개변수전달 주소의반환 함수와배열 배열의매개변수전달 함수와참조 참조에의한매개변수전달 참조의반환 프로그래밍연습 1 /15 6 강. 함수와배열, 포인터, 참조함수와포인터 C++ 매개변수전달방법 값에의한전달 : 변수값,

More information

학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2

학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2 학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2 6.1 함수프로시저 6.2 서브프로시저 6.3 매개변수의전달방식 6.4 함수를이용한프로그래밍 3 프로시저 (Procedure) 프로시저 (Procedure) 란무엇인가? 논리적으로묶여있는하나의처리단위 내장프로시저 이벤트프로시저, 속성프로시저, 메서드, 비주얼베이직내장함수등

More information

EP-B-P211.eps

EP-B-P211.eps PFA/PFW Series PFA Series PFW Series PF00 Series Alphabet Index 8 A COM B COM DCV GND F.G. R.S. HOLD COM BANK BANK COUNT PRESET FUNC. AC00~0VCOM OUTOUTOUTOUTOUTS.STOP RD SD SG RS-C PFA/PFW Series, N M/C

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

°ø±â¾Ð±â±â

°ø±â¾Ð±â±â 20, 30, 40 20, 30, 40 1 2 3 4 5 6 7 8 9 10 3.1 6.3 9.4 12.6 15.7 18.8 22.0 25.1 28.3 31.4 2.4 4.7 7.1 9.4 11.8 14.1 16.5 18.8 21.2 23.6 7.1 14.1 21.2 28.3 35.3 42.4 49.5 56.5 63.6 70.7 5.9 11.9 17.8 23.7

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

Java ...

Java ... 컴퓨터언어 1 Java 제어문 조성일 조건문 : if, switch 어떠한조건을조사하여각기다른명령을실행 if 문, switch 문 if 문 if - else 문형식 if 문형식 if ( 조건식 ) { 명령문 1; 명령문 2;... if ( 조건식 ) { 명령문 1; 명령문 2;... else { 명령문 a; 명령문 b;... 예제 1 정수를입력받아짝수와홀수를판별하는프로그램을작성하시오.

More information

ÃÖ»óÀ§5³ª-Á¤´ä(01~23)

ÃÖ»óÀ§5³ª-Á¤´ä(01~23) 2.4 3 5.26 8.225m 4523.3 8 0 36.63 3.26 46.7 4670-46.7=4523.3. _ 0. 3 422222 (_3) (_3) (_3) 422222. 4 5 _3 5 =5 _3 4 = _3+ _3+5=, _3=6 =2 ++=2++5=8 2.5 4 =2.5_4-7_.2=0-8.4=.6, 7.2 0.3 7.3 =0.3_7.3-.5_0.5.5

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information