Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt

Size: px
Start display at page:

Download "Microsoft PowerPoint - Master-ChiWeon_Yoon.ppt"

Transcription

1 고속 Row Cycle 동작이가능한 VPM (Virtual Pipelined Memory) 구조에 대한연구 윤치원 1

2 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제 2

3 연구의필요성 (1) Memory 와 Processor 의 Performance Gap 전체시스템성능을제한 1000 µ Proc 60%/yr CPU DRAM 10%/yr DRAM : no cache(hw controlled buffer) in µprocessor 1980 : 64kb DRAM 3

4 연구의필요성 (2) Peak Bandwidth 향상을위한노력 Bandwidth = Bus Width I / O Frequency Wide Data Bus Column Path의고속화 EDO, 3-stage Pipeline, Wave Pipeline Interface의개선 D-RDRAM, SLDRAM Random한 Row Access에대해Effective Bandwidth 저하!! 4

5 연구의필요성 (3) Today s Computer System Random Access Pattern on System Memory Processor Modular S/W frame buffer Graphic accelerator Bridge System Memory Texture Memory PCI 5

6 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제 6

7 관련연구 (1) Reduction of Random Row Cycle Multi Bank Structure (1994, MoSys) Temporal Storage Buffer (S. Wakayama, Fujitsu, SOVC 98) Small Block Access FCRAM (Y. Sato, Fujitsu, SOVC 98) Integration of SRAM Hierarchical Structure EDRAM(1992, EDRAM), CDRAM(1992, Mitsubishi), W-CDRAM(Duke Univ., 1997), VCM(1998, NEC) 7

8 관련연구 (2) Comparison of SRAM Integrated Structure Segment Cell Array (DRAM) Column Decoder Register (SRAM) Cell Array (DRAM) Register (SRAM) Column Decoder Cell Array (DRAM) Register (SRAM) Cell Array (DRAM) Register (SRAM) Row Decoder Register File (SRAM) Cell Array (DRAM) Column Decoder Cell Array (DRAM) Row Decoder Row Decoder Cell Array (DRAM) Column Decoder Channel (SRAM) Row Decoder EDRAM CDRAM (WCDRAM) VCM 8

9 VPM EDRAM CDRAM VCM VPM DRAM SDRAM DDR DRAM SLDRAM EDO DRAM Rambus DRAM (Base) Direct Rambus 9

10 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제 10

11 VCM (Virtual Channel Memory) 개요 Integration of SRAM Buffer in DRAM Channel Cell Core 구조의변형 Backward Compatibility External Controller에의한Data Transfer Control 면적증가억제 Flexibility 11

12 VCM (Virtual Channel Memory) 구조및동작원리 Channel Fully associative Channel(Dummy) Segment (1Kbit) SRAM Access Foreground Operation Channel Read, Write Cell Core Access Background Operation Prefetch, Restore 12

13 VCM (Virtual Channel Memory) VCM 의동작 Bank A 1 Memory Cell Array Bank B Memory Master 1 Memory Master 2 Memory Master 3 Prefetch Restore Application A Application B Application C Dummy Channel Channel

14 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제 14

15 메모리시스템성능측정기 필요성 현재의 Computer System 다양한 Access Pattern의 Application이사용 복잡한 Hardware Platform H/W와 S/W가서로연관되어유기적으로동작 System 수준의종합적상황이고려된성능분석필요!! 성능측정기의활용 Comparison of Performance TOP-down 방식의 Memory Design 방식제공 15

16 POPeye (1) POPeye System의종합적인행동양식을고려한 Memory System 성능측정기 H/W Platform + System Software + Application Performance Analysis Parameter POPeye User Performance Analyzer Application Programs OS : windows95 Virtual PC Emulator Memory DRAM module UNIX 16

17 POPeye (2) Target System PC system Processor x86 계열 CPU Host Bus Internal L1 Cache External L2 Cache External Devices Memory Controller System Memory L2 Cache Tag Cntl Tag Cntl TIO[7:0] Memory controller (cache contr.) DRAM interface Main Memory (SDRAM /VCM VPM) PCI Bus Floppy Disk KeyBoard Bus Controller Mouse Hard Disk BIOS 17

18 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제 18

19 POPeye 를이용한 VCM 성능분석 Memory Modeling SDRAM : Open page = 4KB x 2 = 8KB 512 Byte SDRAM Module 0 bank MB : (64MBit 8) 8 Chip Organization ( ) 2 8 I/O VC-SDRAM Module bank1 x 8 x 8 x 8 x 64 VC-SDRAM : Open page = (n x mk bit) x 8 = nxm KB k Byte 4k Byte 64MB : (64MBit 8) 8 Chip Organization ( ) 2 8 I/O n (Channel) m-k bit x 8 x 8 x 8 x m-k Byte

20 POPeye Simulation Issues on Channel Number Of Channels Channel Width 1 Row Prefetch Vs. 1/4 Row Prefetch Dummy Channel Access Write Allocation Vs. No-Write-Allocation Latency Comparison Channel(Page) Hit Same Latency Channel(Page) Miss Read Miss : Same or Long(Restore) Write Miss : Short (Dummy Hit) or Long(Dummy Miss) 20

21 POPeye Simulation Latency Comparison (Read Miss Cycle) 100MHz, BL = 4, Same bank Access VC-SDRAM (with Restore) RRL=3 RL=2 t PAL =6 ACT PFR RSTA ACT ACT PFR DQ Hi-Z Data Data Data Data Data Data Data SDRAM (100MHz, BL = 4, Same bank Access) CL=3 t RCO =3 t RP =3 RAS CAS PRE RAS CAS PRE RAS t RCD CL=3 t RP DQ Hi-Z Data Data Data Data Data Data Data Data 21

22 POPeye Simulation Latency Comparison(Write Miss Cycle) 100MHz, BL = 4, Same bank Access VC-SDRAM (dummy channel access) RRL=3 RL=2 t PAL =6 ACT PFD WRDA ACT PFD WRDA DQ Hi-Z Data Data Data Data Data Data Data SDRAM CL=3 t RCO =3 t RP =3 RAS CAS PRE RAS CAS PRE RAS CAS t RCD DQ Hi-Z Data Data Data Data Data Data Data Data Data Data Data 22

23 Simulation Result Channel 개수에따른특성변화 read hit read miss write hit write miss 1.4 sdram vcm : 1k-16ch vcm : 1k-4ch 100% PhotoShop3.0 MSexcel 7.0 MSword % % % % photo shop excel word 0% sdram vcm 16ch vcm 4ch sdram vcm 16ch vcm 4ch sdram vcm 16ch vcm 4ch Performance Access Pattern 23

24 Simulation Result Channel 길이에따른특성변화 1.4 sdram vcm : 1k- 16ch vcm : 4k- 4ch 100% read hit read miss write hit write miss PhotoShop3.0 MSexcel 7.0 MSword % % % % 0 photo shop excel word 0% sdram vcm 1k- 16ch vcm 4k-4ch sdram vcm 1k- 16ch vcm 4k-4ch sdram vcm 1k- 16ch vcm 4k-4ch Performance Access Pattern 24

25 Simulation Result Dummy Channel Access 방식에따른변화 1.4 sdram vcm : No-write_alloc. vcm : Write_alloc. 100% PhotoShop3.0 read hit read miss write hit write miss MSexcel 7.0 MSword % % % % 0 photo shop excel word 0% sdram vcm : No- Write- Alloc. vcm : Write- Alloc. sdram vcm : No- Write- Alloc. vcm : Write- Alloc. sdram vcm : No- Write- Alloc. vcm : Write- Alloc. Performance Access Pattern 25

26 Simulation Result Channel Replace & Restore replace restore No Write-Alloc. Write-Alloc. PhotoShop 3.0 No Write-Alloc. Write-Alloc. MSexcel 7.0 No Write-Alloc. Write-Alloc. MSword

27 Simulation Result Channel Access Pattern(for 16 Channels) 100% 90% 80% Dummy _miss Dummy _hit 100% 90% 80% Row_open miss Row_open hit 70% 70% 60% 60% 50% 50% 40% 40% 30% 30% 20% 20% 10% 10% 0% PhotoShop 3.0 MSexcel 7.0 MSword 7.0 0% PhotoShop 3.0 MSexcel 7.0 MSword 7.0 Dummy Channel Hit Ratio Opened Row Hit Ratio 27

28 결과분석 결과분석 Performance Improvement With Integrating Only 4 Channels With 1/4 Row Prefetching Scheme With No Write Allocation Method Characteristics of Background Operations Poor Utilization of Previously Activated Row Poor Hit Ratio for Dummy Channel in the Case of Successive Write Miss Cycle Performance Limited by Background Operations!! 28

29 발표순서 연구의필요성 관련연구 VCM (Virtual Channel Memory) POPeye : 메모리시스템성능측정기 POPeye를이용한 VCM 분석 VPM (Virtual Pipelined Memory) 결론및추후과제 29

30 VPM (Virtual Pipelined Memory) Behavioral Level Structural Level Gate Level 동작특성분석 Behavioral Model Structural Modeling of VPM SPICE Simulation 성능분석 30

31 VPM Design (Behavioral Level) From VCM Analysis Results, Channel Structure is Effective 1/4 Prefetching Scheme No Write Allocation No Write Allocation Long Write Miss Cycle Read Modified Write for Dummy» Prefetch to Dummy, Restore Dummy Data to Cell Core Poor Dummy Channel Hit for Successive Write Miss Cycle Use Write Through 31

32 성능분석 : VPM Performance Analysis No Write Allocation with Write Through 1/4 Prefetching, 16 channels SDRAM VCM VPM Photoshop Excel Word 32

33 VPM Design (Behavioral Level) 1 Physical Row Activation 1 Physical Row Activation for Prefetching One Segment Poor Utilization of Previously Activated Row Partial Activation 사용!! Performance is Limited by Background Op. Fast Row Cycle 을위한새로운 Scheme 이필요 Row Path Pipelining!! 33

34 VPM Design (Structural Level) 기본구조 (1) Channel (3) (2) 4k-bit (2) Sub-WordLine 구조 X- Buff. Row dec. Latch Main WL Driver SWL Partial Activation Memory Cell Core 8k (3) Row Decoder Latch (4) Row Data Buffer S/A (5) Direct Path to Row Buffer (1) Row Buffer 1k-bit Channel (4) X n (5) 34

35 VPM Design (Structural Level) Background Operations Memory Cell Core Memory Cell Core Memory Cell Core (1) (2) (2) Main WL Driver SWL (2) Main WL Driver (3) Main WL Driver (3) (3) (4) (4) 1k-bit (4) Row Buffer S/A S/A Row Buffer 1k-bit (1) S/A Row Buffer 1k-bit (1) Channel Channel Channel 35

36 VPM Design (Structural Level) Sub-Word Line 구조 Rx Driver 4k X-addr. Buffer Main Dec. latch Main Wordline Driver 1k Sub-wordline Driver Segment Selection Precharge X Y X Y Main Wordline Sub-Wordline 36

37 VPM Design (Gate Level) SPICE Simulation 256 * 64 Sub-Block Array Alternate Shared Sense Amp RB SA RB SA RB SA RB SA 현대 0.35 µm 64cell 64cell 64cell 64cell Word Line Model» R : 23.4 KΩ» C : 200 ff SA RB SA SA RB SA SA RB SA SA RB SA Bit Line Model 64cell 64cell 64cell 64cell»R : 8 KΩ» C : 200 ff 100MHz Clock SA RB SA RB SA RB SA RB 37

38 VPM Design (Gate Level) Cell Core to Channel Transfer (Prefetch) 38

39 VPM Design (Gate Level) Channel to Cell Core (Restore) 39

40 Row Cycle Comparison 연속적인 Read Access (100MHz, BL 4) Read(100MHz, BL = 4, Same bank Access) SDRAM RAS CAS PRE RAS CAS DATA D0 D1 D2 D3 D0 VC-SDRAM ACT PFR ACT PFR DATA D0 D1 D2 D3 D0 D1 D2 D3 Precharge VPM ACT PFR ACT PFR DATA D0 D1 D2 D3 D0 D1 D2 D3 40

41 Row Cycle Comparison Restore Cycle Restore(100MHz, BL = 4, Same bank Access) VC-SDRAM RSTA ACT ACT VPM RSTA ACT ACT Write Miss Cycle WRDA(100MHz, BL = 4, Same bank Access) VC-SDRAM ACT PFD WRDA ACT D0 D1 D2 D3 VPM WRDA ACT PFD WRDA D0 D1 D2 D3 41

42 Performance Analysis Max. 40 % 성능분석 : VPM SDRAM VCM VPM Photoshop Excel Word 42

43 결론및추후과제 결론 Memory System 성능분석기의구현 VCM 구조의성능및특성분석 TOP Down 접근방식을사용한 VPM 구조제안 VCM 의한계극복 Fast Row Cycle Low Power 추후과제 VPM 의구현 (Layout) VPM 구조의 EML 적용가능성검토 43

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

Integ

Integ HP Integrity HP Chipset Itanium 2(Processor 9100) HP Integrity HP, Itanium. HP Integrity Blade BL860c HP Integrity Blade BL870c HP Integrity rx2660 HP Integrity rx3600 HP Integrity rx6600 2 HP Integrity

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

<4D F736F F F696E74202D2037C0E52DC4B3BDC3BFCDB8DEB8F0B8AE>

<4D F736F F F696E74202D2037C0E52DC4B3BDC3BFCDB8DEB8F0B8AE> 7장 : 캐시와메모리 메모리계층 사용자들은값싸고빠른메모리를크면클수록갖고싶어한다! - 나에게하드디스크 (300GB) 만큼의, 속도는 RAM 정도이고비휘발성메모리가있다면.. 그런데돈이없다. 2006년현재 RAM은 52 MB/5 만원 ( GB/0 만원 ) HD는 300GB/0 만원 (GB/330원) 캐시가격을정확히산정하기는어려우나 52KB/2 만원 (GB/4000

More information

리뉴얼 xtremI 최종 softcopy

리뉴얼 xtremI 최종 softcopy SSD를 100% 이해한 CONTENTS SSD? 03 04 05 06 07 08 09 10 11 12 13 15 14 17 18 18 19 03 SSD SSD? Solid State Drive(SSD) NAND NAND DRAM SSD [ 1. SSD ] CPU( )RAM Cache Memory Firmware GB RAM Cache Memory Memory

More information

CONTENTS CONTENTS CONTENT 1. SSD & HDD 비교 2. SSD 서버 & HDD 서버 비교 3. LSD SSD 서버 & HDD 서버 비교 4. LSD SSD 서버 & 글로벌 SSD 서버 비교 2

CONTENTS CONTENTS CONTENT 1. SSD & HDD 비교 2. SSD 서버 & HDD 서버 비교 3. LSD SSD 서버 & HDD 서버 비교 4. LSD SSD 서버 & 글로벌 SSD 서버 비교 2 읽기속도 1초에 20Gbps www.lsdtech.co.kr 2011. 7. 01 Green Computing SSD Server & SSD Storage 이기택 82-10-8724-0575 ktlee1217@lsdtech.co.kr CONTENTS CONTENTS CONTENT 1. SSD & HDD 비교 2. SSD 서버 & HDD 서버 비교 3. LSD

More information

(72) 발명자 이동희 서울 동작구 여의대방로44길 10, 101동 802호 (대 방동, 대림아파트) 노삼혁 서울 중구 정동길 21-31, B동 404호 (정동, 정동상 림원) 이 발명을 지원한 국가연구개발사업 과제고유번호 2010-0025282 부처명 교육과학기술부

(72) 발명자 이동희 서울 동작구 여의대방로44길 10, 101동 802호 (대 방동, 대림아파트) 노삼혁 서울 중구 정동길 21-31, B동 404호 (정동, 정동상 림원) 이 발명을 지원한 국가연구개발사업 과제고유번호 2010-0025282 부처명 교육과학기술부 (19) 대한민국특허청(KR) (12) 등록특허공보(B1) (45) 공고일자 2015년01월13일 (11) 등록번호 10-1480424 (24) 등록일자 2015년01월02일 (51) 국제특허분류(Int. Cl.) G06F 12/00 (2006.01) G11C 16/00 (2006.01) (21) 출원번호 10-2013-0023977 (22) 출원일자 2013년03월06일

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

Microsoft PowerPoint - 알고리즘_1주차_2차시.pptx

Microsoft PowerPoint - 알고리즘_1주차_2차시.pptx Chapter 2 Secondary Storage and System Software References: 1. M. J. Folk and B. Zoellick, File Structures, Addison-Wesley. 목차 Disks Storage as a Hierarchy Buffer Management Flash Memory 영남대학교데이터베이스연구실

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

Microsoft PowerPoint - 발표_090513_IBM세미나_IPTV_디디오넷_완료.ppt

Microsoft PowerPoint - 발표_090513_IBM세미나_IPTV_디디오넷_완료.ppt 신후랑 팀장, 디디오넷 (010-8752-4952, hrshin@dideonet.com) 05/20/2009 BIZ in a box - Solution for Enterprise IPTV 2 UNIX vs. x86 Non-x86 UNIX 2008 2007 0% Y/Y Total x86 2008 2007-25.3% Y/Y 0 200 400 600 800 3 Why

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

solution map_....

solution map_.... SOLUTION BROCHURE RELIABLE STORAGE SOLUTIONS ETERNUS FOR RELIABILITY AND AVAILABILITY PROTECT YOUR DATA AND SUPPORT BUSINESS FLEXIBILITY WITH FUJITSU STORAGE SOLUTIONS kr.fujitsu.com INDEX 1. Storage System

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770>

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770> i ii iii iv v vi 1 2 3 4 가상대학 시스템의 국내외 현황 조사 가상대학 플랫폼 개발 이상적인 가상대학시스템의 미래상 제안 5 웹-기반 가상대학 시스템 전통적인 교수 방법 시간/공간 제약을 극복한 학습동기 부여 교수의 일방적인 내용전달 교수와 학생간의 상호작용 동료 학생들 간의 상호작용 가상대학 운영 공지사항,강의록 자료실, 메모 질의응답,

More information

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 -------------

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 ------------- [ CALLAS Network Player ] Owner s Manual ( 주 ) 금 잔 디 음 향 예.술.을.담.는.스.피.커.과.학 Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는 (19)대한민국특허청(KR) (12) 공개특허공보(A) (51) Int. Cl. H04N 5/44 (2006.01) H04N 7/08 (2006.01) (11) 공개번호 (43) 공개일자 10-2007-0071942 2007년07월04일 (21) 출원번호 10-2005-0135804 (22) 출원일자 2005년12월30일 심사청구일자 없음 (71) 출원인 주식회사

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - o8.pptx 메모리보호 (Memory Protection) 메모리보호를위해 page table entry에 protection bit와 valid bit 추가 Protection bits read-write / read-only / executable-only 정의 page 단위의 memory protection 제공 Valid bit (or valid-invalid bit)

More information

Microsoft Word - 산업분석리포트2008110717020200.doc

Microsoft Word - 산업분석리포트2008110717020200.doc 산업분석리포트 28.11.1 넷북 - PC 산업의 새로운 트렌드 Analyst 김현중 377-3562 guswnd@myasset.com 새로운 고객 세그먼트의 확대로 29년 본격적인 시장 성장 예상 넷북이란 인텔에서 제안한 저가형 서브 PC 의 개념. 작고, 가볍고, 저전력이며 인터넷, 워드프로 세서와 같은 기본적인 프로그램만을 가동시키는데 최적화된 PC 를

More information

ARM01

ARM01 0 1 Chapter 1.1 1.2 1.3 1.4 1.5 ARM System Developer s guide 32, ARM., ARM,,,. ARM 1985, ARM1, 2001 20 ARM. ARM,., ARM,., ARM ARM7TDMI, 120 Dhrystone MIPS 1),. ARM7TDMI. ARM, RISC(Reduced Instruction Set

More information

1. 회사소개 및 연혁 - 회사소개 회사소개 회사연혁 대표이사: 한종열 관계사 설립일 : 03. 11. 05 자본금 : 11.5억원 인 원 : 18명 에스오넷 미도리야전기코리 아 미도리야전기(일본) 2008 2007 Cisco Premier Partner 취득 Cisco Physical Security ATP 취득(진행) 서울시 강남구 도심방범CCTV관제센터

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

1

1 04단원 컴퓨터 소프트웨어 1. 프로그래밍 언어 2. 시스템 소프트웨어 1/10 1. 프로그래밍 언어 1) 프로그래밍 언어 구분 각종 프로그래밍 언어에 대해 알아보는 시간을 갖도록 하겠습니다. 우리가 흔히 접하는 소프트웨어 들은 프로그래밍 언어로 만들어지는데, 프로그래밍 언어는 크게 2가지로 나눌 수 있습니다. 1 저급어 : 0과 1로 구성되어 있어, 컴퓨터가

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

1.LAN의 특징과 각종 방식

1.LAN의 특징과 각종 방식 0 Chapter 1. LAN I. LAN 1. - - - - Switching - 2. LAN - (Topology) - (Cable) - - 2.1 1) / LAN - - (point to point) 2) LAN - 3) LAN - 2.2 1) Bound - - (Twisted Pair) - (Coaxial cable) - (Fiber Optics) 1

More information

thesis-shk

thesis-shk DPNM Lab, GSIT, POSTECH Email: shk@postech.ac.kr 1 2 (1) Internet World-Wide Web Web traffic Peak periods off-peak periods peak periods off-peak periods 3 (2) off-peak peak Web caching network traffic

More information

2 / 26

2 / 26 1 / 26 2 / 26 3 / 26 4 / 26 5 / 26 6 / 26 7 / 26 8 / 26 9 / 26 10 / 26 11 / 26 12 / 26 13 / 26 14 / 26 o o o 15 / 26 o 16 / 26 17 / 26 18 / 26 Comparison of RAID levels RAID level Minimum number of drives

More information

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt ctober 2006 2006 Q4 AMD 데스크탑 프로세서 소개 2006 / 11 4분기 새 소식! 이제 본격적인 AM2 시즌! 소켓 939와 소켓754는 일부재고제품으로운영후단종 Quad-core, DDR2 메모리, 가상화기술 지원- 미래형 플랫폼 최고 성능의 명예를 이어가는 FX-70, -72 & -74 출시 4X4 Platform, 2-Processor

More information

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료 오리온 알토는 Windows 7을 권장합니다. DESKTOP PC 이 기기는 가정용(B급)으로 전자파적합기기로서 주로 가정에서 사용하는 것을 목적으로 하며, 모든 지역에서 사용할 수 있습니다. * 제품 연결 및 작동 등 올바른 사용을 위해서 이 설명서를 주의 깊게 읽어 주시기 바랍니다. 또한, 향후 사용을 위해서 매뉴얼을 보관하여 주십시오. * 본 이미지는

More information

소프트웨어개발방법론

소프트웨어개발방법론 사용사례 (Use Case) Objectives 2 소개? (story) vs. 3 UC 와 UP 산출물과의관계 Sample UP Artifact Relationships Domain Model Business Modeling date... Sale 1 1..* Sales... LineItem... quantity Use-Case Model objects,

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

ETL_project_best_practice1.ppt

ETL_project_best_practice1.ppt ETL ETL Data,., Data Warehouse DataData Warehouse ETL tool/system: ETL, ETL Process Data Warehouse Platform Database, Access Method Data Source Data Operational Data Near Real-Time Data Modeling Refresh/Replication

More information

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770>

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770> Black Key Region Cr R Linear Key Region θ White Key Region Cb θ Table θ Table for Chroma Suppress 1 255 0 θc θ Table for Linear Key θs θw1 θs θw2 Radius Table R Table for Chroma Suppress 1 255 0 Rc R Table

More information

목 차

목      차 Oracle 9i Admim 1. Oracle RDBMS 1.1 (System Global Area:SGA) 1.1.1 (Shared Pool) 1.1.2 (Database Buffer Cache) 1.1.3 (Redo Log Buffer) 1.1.4 Java Pool Large Pool 1.2 Program Global Area (PGA) 1.3 Oracle

More information

untitled

untitled (shared) (integrated) (stored) (operational) (data) : (DBMS) :, (database) :DBMS File & Database - : - : ( : ) - : - : - :, - DB - - -DBMScatalog meta-data -DBMS -DBMS - -DBMS concurrency control E-R,

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

Microsoft Word - 1-차우창.doc

Microsoft Word - 1-차우창.doc Journal of the Ergonomics Society of Korea Vol. 28, No. 2 pp.1-8, May 2009 1 하이브리드 환경하의 인간기계시스템 제어실 평가에 관한 연구 차 우 창 김 남 철 금오공과대학교 산업시스템공학과 A Study of the Evaluation for the Control Room in Human Machine

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

pseries, Systems Group pseries 2003 Corporation (p) Systems Group POWER4/POWER4+ pseries! +! + + The Only Complete UNIX on Demand

pseries, Systems Group pseries 2003 Corporation (p) Systems Group POWER4/POWER4+ pseries! +! + + The Only Complete UNIX on Demand pseries, Systems Group pseries 2003 Corporation pseries, Systems Group KwanYong Lee / lky@kr kr.ibm.com Product Line Manager, pseries June 12, 2003 2003 Corporation pseries, Systems Group pseries 2003

More information

2005CG01.PDF

2005CG01.PDF Computer Graphics # 1 Contents CG Design CG Programming 2005-03-10 Computer Graphics 2 CG science, engineering, medicine, business, industry, government, art, entertainment, advertising, education and

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

Oracle Database 10g: Self-Managing Database DB TSC

Oracle Database 10g: Self-Managing Database DB TSC Oracle Database 10g: Self-Managing Database DB TSC Agenda Overview System Resource Application & SQL Storage Space Backup & Recovery ½ Cost ? 6% 12 % 6% 6% 55% : IOUG 2001 DBA Survey ? 6% & 12 % 6% 6%

More information

ÀüÀÚ Ä¿¹ö-±¹¹®

ÀüÀÚ Ä¿¹ö-±¹¹® 1 TV 20011 TV TV Top 3. 63 TV 40 TFT-LCD TV, TFT-LCD LCoS(Liquid Crystal on Silicon). 2002 TV 15, 17, 22, 24, 29 40 TFT-LCD TV 1. VCR & 1979 VCR 2001., VCR, AOL Time Warner TV. 2002 SDTV HDTV,,. DVD DVD/VCR

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

저작권 안내 이 자료는 시나공 카페 회원을 대상으로 하는 자료로서 개인적인 용도로만 사용할 수 있습니다. 허락 없이 복제하거나 다른 매체에 옮겨 실을 수 없으며, 상업적 용도로 사용할 수 없습니다.

저작권 안내 이 자료는 시나공 카페 회원을 대상으로 하는 자료로서 개인적인 용도로만 사용할 수 있습니다. 허락 없이 복제하거나 다른 매체에 옮겨 실을 수 없으며, 상업적 용도로 사용할 수 없습니다. 길벗 R&D, 강윤석, 김용갑, 김우경, 김선애, 김종일 지음 나 는 시 험 에 나 오 는 것 만 공 부 한 다! 저작권 안내 이 자료는 시나공 카페 회원을 대상으로 하는 자료로서 개인적인 용도로만 사용할 수 있습니다. 허락 없이 복제하거나 다른 매체에 옮겨 실을 수 없으며, 상업적 용도로 사용할 수 없습니다. 1편 요약 1과목 워드프로세서 용어 및 기능 2과목

More information

K_R9000PRO_101.pdf

K_R9000PRO_101.pdf GV-R9000 PRO Radeon 9000 PRO Upgrade your Life REV 101 GV-R9000 PRO - 2-2002 11 1 12 ATi Radeon 9000 PRO GPU 64MB DDR SDRAM 275MHz DirectX 81 SMARTSHADER ATI SMOOTHVISION 3D HYDRAVISION ATI CATLYST DVI-I

More information

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3

<목 차 > 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3 열차운행정보 승무원 확인시스템 구축 제 안 요 청 서 2014.6. 제 1장 일반사항 4 I.사업의 개요 4 1.사업명 4 2.사업의 목적 4 3.입찰 방식 4 4.입찰 참가 자격 4 5.사업 및 계약 기간 5 6.추진 일정 6 7.사업 범위 및 내용 6 II.사업시행 주요 요건 8 1.사업시행 조건 8 2.계약보증 9 3.시운전 및 하자보증 10

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

untitled

untitled NV40 (Chris Seitz) NV1 1 Wanda NV1x 2 2 Wolfman NV2x 6 3 Dawn NV3x 1 3 Nalu NV4x 2 2 2 95-98: Z- CPU GPU / Geometry Stage Rasterization Unit Raster Operations Unit 2D Triangles Bus (PCI) 2D Triangles (Multitexturing)

More information

CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN

CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN CMOS IMAE SENSOR and Its Application W.H. Jo System IC SP Div. MT CIS Dev. Team CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN Mobile

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

보고서(겉표지).PDF

보고서(겉표지).PDF 11-13 10148-000092- 01 200 1 04 ( ) 2 0 0 1 ( ) E fficient Rules for Oper atin g the Det en tion B asin an d P umpin g St ation ( ) 2 0 0 1. 12 1 2 11-1310148- 000092-01 ( ) E fficien t Ru les for Oper

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

Chap06(Interprocess Communication).PDF

Chap06(Interprocess Communication).PDF Interprocess Communication 2002 2 Hyun-Ju Park Introduction (interprocess communication; IPC) IPC data transfer sharing data event notification resource sharing process control Interprocess Communication

More information

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770>

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770> 표지 면지와 동일 SW 플랫폼 해법: SoC 융합으로 임채덕, 김선태, 정영준, 김태호, 유현규 목 차 1. 서론 1 2. 플랫폼 현주소 2 2.1. 모바일 OS 엿보기 2 2.2. SW 기업의 최신 동향 4 2.3. SoC 기업의 최신 동향 7 2.4. 시사점 11 3. SW 플랫폼 Innovative Mover 전략 13 3.1. 후발 주자의 고민 13

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 데이터베이스및설계 Chap 1. 데이터베이스환경 (#2/2) 2013.03.04. 오병우 컴퓨터공학과 Database 용어 " 데이타베이스 용어의기원 1963.6 제 1 차 SDC 심포지움 컴퓨터중심의데이타베이스개발과관리 Development and Management of a Computer-centered Data Base 자기테이프장치에저장된데이터파일을의미

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

untitled

untitled R&S Power Viewer Plus For NRP Sensor 1.... 3 2....5 3....6 4. R&S NRP...7 -.7 - PC..7 - R&S NRP-Z4...8 - R&S NRP-Z3... 8 5. Rohde & Schwarz 10 6. R&S Power Viewer Plus.. 11 6.1...12 6.2....13 - File Menu...

More information

PowerPoint Presentation

PowerPoint Presentation Data Protection Rapid Recovery x86 DR Agent based Backup - Physical Machine - Virtual Machine - Cluster Agentless Backup - VMware ESXi Deploy Agents - Windows - AD, ESXi Restore Machine - Live Recovery

More information

08이규형_ok.hwp

08이규형_ok.hwp (JBE Vol. 18, No. 2, March 2013) (Regular Paper) 18 2, 2013 3 (JBE Vol. 18, No. 2, March 2013) http://dx.doi.org/10.5909/jbe.2013.18.2.204 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) DVB-T GPU FFT a),

More information

Microsoft Word - 120125_반도체-최종

Microsoft Word - 120125_반도체-최종 산 업 분 석 반도체 Overweight (Maintain) 212.1.25 국내 반도체 산업, 2차 중흥기 진입 메모리 반도체 산업에서 국내업체의 승자독식, 비메모리 반도체에서 삼성전자 Sys. LSI 사업부의 Top Class로 부상, 그 동안 약세를 면치 못했던 메모리 반도체의 본격적인 상승세로 전환 등으로 국내 반도체 산업은 2차 중흥기로 진입 예상.

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

Preliminary spec(K93,K62_Chip_081118).xls

Preliminary spec(K93,K62_Chip_081118).xls 2.4GHz Antenna K93- Series KMA93A2450X-M01 Antenna mulilayer Preliminary Spec. Features LTCC Based designs Monolithic SMD with small, low-profile and light-weight type Wide bandwidth Size : 9 x 3 x 1.0mm

More information

<32303132B3E2C1A632C8B8BFF6B5E531B1DE42C7FC2E687770>

<32303132B3E2C1A632C8B8BFF6B5E531B1DE42C7FC2E687770> 국 가 기 술 자 격 검 정 무 단 전 재 금 함 형별 제한 시간 수험번호 성 명 다음 문제를 읽고 가장 알맞은 것을 골라 답안카드의 답란 (1, 2, 3, 4)에 표기하시오 워드프로세싱 용어 및 기능 1. 다음 중 워드프로세서의 입력 기능에 대한 설명으로 옳지 1 행두 금칙 문자로는 (, [,,< 등이 있다. 2 KS X 1001 완성형 한글

More information

wp1_120616.hwp

wp1_120616.hwp 1과목 : 워드프로세싱 용어 및 기능 1. 다음 중 문서의 효력 발생에 대한 견해로 우리나라에서 채택하 고 있는 1 표백주의 2 발신주의 3 도달주의 4 요지주의 2. 다음 중 워드프로세서의 표시기능에 대한 설명으로 옳은 1 포인트는 화면을 구성하는 최소 단위로 1포인트는 보통 0.5mm이다. 2 자간이란 문자와 문자 사이의 간격을 의미하며 자간을 조절 하여

More information

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770>

<BDBAB8B6C6AEC6F95FBDC3C0E55FC8AEB4EB5FC0CCC1D6BFCF5F3230313230362E687770> 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 산업연구시리즈 2012년 6월 18일 제3호 스마트폰 시대, IT를 넘어 금융을 향해 연구위원 이 주 완 joowanlee@hanaif.re.kr 02)2002-2683 요 약 IT 산업에 미치는 영향 프리미엄 제품 공급자 중심으로 재편 스마트폰은 단순히 기능이 추가된

More information

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및 (19) 대한민국특허청(KR) (12) 공개특허공보(A) (11) 공개번호 10-2014-0033653 (43) 공개일자 2014년03월19일 (51) 국제특허분류(Int. Cl.) G06F 9/44 (2006.01) G06F 15/16 (2006.01) (21) 출원번호 10-2012-0099738 (22) 출원일자 2012년09월10일 심사청구일자 없음

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

PowerPoint

PowerPoint .. http://www.acs.co.kr -1- .. http://www.acs.co.kr -3- ( Advanced Computer Services Co.,Ltd. ) 345-9 SK B8 ( sh_kim@acs.co.kr ) 116-81-24039 http://www.acs.co.kr, http://www.emanufacturing.co.kr (Fax)

More information

歯A1.1함진호.ppt

歯A1.1함진호.ppt The Overall Architecture of Optical Internet ETRI ? ? Payload Header Header Recognition Processing, and Generation A 1 setup 1 1 C B 2 2 2 Delay line Synchronizer New Header D - : 20Km/sec, 1µsec200 A

More information

Voice Portal using Oracle 9i AS Wireless

Voice Portal using Oracle 9i AS Wireless Voice Portal Platform using Oracle9iAS Wireless 20020829 Oracle Technology Day 1 Contents Introduction Voice Portal Voice Web Voice XML Voice Portal Platform using Oracle9iAS Wireless Voice Portal Video

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

Microsoft PowerPoint - 알고리즘_2주차_1차시.pptx

Microsoft PowerPoint - 알고리즘_2주차_1차시.pptx 1.4 Blocking Block의정의 디스크와메모리사이에데이터전송의단위 물리적레코드라고도함 Sector, Block, Cluster의비교 Sector: Data transfer 의최소단위 Block = n개의 sector로구성 디스크와메모리사이에데이터전송의단위 Cluster: m 개의 sector 로구성되며, FAT 구성단위 Cluster Block 영남대학교데이터베이스연구실

More information

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2

FMX M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX 20062 () wwwexellencom sales@exellencom () 1 FMX 1 11 5M JPG 15MB 320x240 30fps, 160Kbps 11MB View operation,, seek seek Random Access Average Read Sequential Read 12 FMX () 2 FMX FMX D E (one

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례

AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례 모바일 클라우드 서비스 융합사례와 시장 전망 및 신 사업전략 2011. 10 AGENDA 01 02 03 모바일 산업의 환경변화 모바일 클라우드 서비스의 등장 모바일 클라우드 서비스 융합사례 AGENDA 01. 모바일 산업의 환경 변화 가치 사슬의 분화/결합 모바일 업계에서도 PC 산업과 유사한 모듈화/분업화 진행 PC 산업 IBM à WinTel 시대 à

More information

K824PCM1DMX-K

K824PCM1DMX-K K EX-P700 http://www.exilim.com / K824PCM1DMX * * 2 2... 2... 11... 14 14 16 17 17 18 18 18 19... 19... 21... 22... 23... 23 24... 24... 26 26... 29 29 33 34... 35... 36... 37 37 41 42 45 48 50 51... 52...

More information

기타자료.PDF

기타자료.PDF < > 1 1 2 1 21 1 22 2 221 2 222 3 223 4 3 5 31 5 311 (netting)5 312 (matching) 5 313 (leading) (lagging)6 314 6 32 6 321 7 322 8 323 13 324 19 325 20 326 20 327 20 33 21 331 (ALM)21 332 VaR(Value at Risk)

More information

vm-웨어-01장

vm-웨어-01장 Chapter 16 21 (Agenda). (Green),., 2010. IT IT. IT 2007 3.1% 2030 11.1%, IT 2007 1.1.% 2030 4.7%, 2020 4 IT. 1 IT, IT. (Virtualization),. 2009 /IT 2010 10 2. 6 2008. 1970 MIT IBM (Mainframe), x86 1. (http

More information

歯경영혁신 단계별 프로그램 사례.ppt

歯경영혁신 단계별 프로그램 사례.ppt BMS Infra BMS Location A B C D D A Location Card + Location SET Card : 1 : : Location Card ( ) ( Over ) Location Card Card Location Card ( ) ( ) Location Card LocationCard RACK1 AGE / 7 ( ) SET Location

More information

Microsoft Word - HD-35 메뉴얼_0429_.doc

Microsoft Word - HD-35 메뉴얼_0429_.doc 자주 묻는 질문들...2 제품의 특장점...3 안전을 위한 주의사항...5 사용을 위한 주의사항...5 각 부분의 이름...6 HD-35 조립/분리하기...7 PC와 USB 케이블 연결하기...8 1. 윈도우 98/ME에서 설치과정...9 2. NTFS를 FAT32 포맷방식으로 바꾸기...11 설치 및 연결하기...14 1. 비디오 연결방법...14 2. 오디오

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

untitled

untitled (Rev. 1.6) 1 1. MagicLAN.......8 1.1............8 1.2........8 1.3 MagicLAN.......10 2.........12 2.1.... 12 2.2 12 2.3....12 3. Windows 98SE/ME/2000/XP......13 3.1.....13 3.2 Windows 98SE.... 13 3.3 Windows

More information

15_3oracle

15_3oracle Principal Consultant Corporate Management Team ( Oracle HRMS ) Agenda 1. Oracle Overview 2. HR Transformation 3. Oracle HRMS Initiatives 4. Oracle HRMS Model 5. Oracle HRMS System 6. Business Benefit 7.

More information