Microsoft Word - Lab.4

Size: px
Start display at page:

Download "Microsoft Word - Lab.4"

Transcription

1 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기

2 (b) 출력제한 비교기 (c) 슈미트트리거

3 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로 3. 실험장비및부품리스트 A. 공통 NI ELVIS II MultiSim ( 혹은 SPICE 와같은회로시뮬레이터 ) PC : NI MultiSim과 ELVIS II 용도 B. BJT 공통이미터증폭기회로 실험 OP-AMP LM741CN: 1 개 Diode: 2N4004 1개 저항 : 1kΩ 2 개, 6.1 kω 2개, 가변저항 10kΩ 1개 4. Pre-Lab( 예비실험 )

4 A. 기본 이론조사 (1) 연산증폭기를이용한 비교기에 대해서설명하시오. (2) 연산증폭기를이용한 슈미트트리거회로에대해서 설명하시오. (3) 연산증폭기의비교기특성을응용한회로들을조사하고그회로들의기능에대해서간략히설명하시오. B. MultiSim 사용한모의 실험 ( 시뮬레이션 ) (1) 연산증폭기의 비교기회로 연산증폭기비교기회로 ( 그림 4.2) 에서입력전압 (V in ) 과오실로스코프로측정하시오. 출력전압 (V out ) 을 그림 4.2. 연산증폭기 비교기측정회로

5 - XFG1은함수발생기이고 Offset 0V 다음과같이설정 : 정현파, Frequency 1kHz, Amplitude 2.5Vp, - XSC1 은오실로스코프이고이것을 이용해서 입력전압 (V in ) 과 출력전압 (V out ) 의 그래프를출력하시오. (2) 연산증폭기의 출력제한비교기회로 연산증폭기출력제한비교기회로 ( 그림 4.3) 에서 (V out ) 을오실로스코프로측정하시오. 입력전압 (V in ) 과출력전압 그림 연산증폭기출력제한비교기측정회로 - XFG1은함수발생기이고 Offset 0V 다음과같이설정 : 정현파, Frequency 1kHz, Amplitude 2.5Vp, - XSC1 은오실로스코프이고이것을 이용해서 입력전압 (V in ) 과 출력전압 (V out ) 의 그래프를출력하시오.

6 - V 2 (=V-) 의전압을측정해서그래프에표시하시오. 이값이비교기의기준전압이다. V 2 (=V-) =? (3) 슈미트트리거특성회로 연산증폭기슈미트트리거특성측정회로 ( 그림 4.4) 에서가변저항변화에따른출력전압변화를다음과같은절차에따라서멀티미터로측정하시오. 그림 4.4. 슈미트트리거특성측정회로 - 가변저항 ( 포텐셜미터 ) R v을임의로변화시키면입력전압 V 2 (= =V - ) 이 15V로부터 +15V 까지증가시키는방향을찾을수있다. 이방향으로 V 2 가 15V로부터 +15V까지증가하도록가변저항 R v 를변화시키면출력전압 V 6 (=V out) 이변화가없다가갑자기변화하는순간이나타난다. 그때에입력전압 V 2 을측정하라. 이때에입력전압이 V UTP 이다. V 2 = V UTP = 이론치를계산하고비교하라. - 가변저항 R v 을임의로변화시키면입력전압 V 2 (= V - ) 이 +15V로부터 -15V까지감소시키는방향을찾을수있다. 이방향으로 V 2 가 +15V로부터 -15V 까지감소하도록가변저항 R v 를변화시키면출력전압 V 6 (=V out t) 이변화가없다가갑자기

7 변화하는순간이나타난다. 그때에입력전압 V 2 을측정하라. 이때에입력전압이 V LTP 이다. V 2 = V LTP = 이론치를계산하고비교하라. - 아래그래프 ( 그림 4.5) 에위에서추출한 V UTP 와 V LTP 값을표시하시오. V sat V out V LTP 0 V UTP -V sat 그림 4.5. 슈미트트리거특성그래프 (4) 연산증폭기의슈미트트리거회로 연산증폭기슈미트트리거회로 ( 그림 4.6) 에서입력전압 (V in ) 과출력전압 (V out ) 을오실로스코프로측정하시오.

8 그림 4.6. 슈미트트리거측정 회로 - XFG1은함수발생기이고 Offset 0V 다음과같이설정 : 정현파, Frequency 1kHz, Amplitude 2.5Vp, - XSC1 은오실로스코프이고이것을 이용해서 입력전압 (V in ) 과 출력전압 (V out ) 의 그래프를출력하시오. (5) 연산증폭기의 포화반파정류회로 연산증폭기포화반파정류회로 ( 그림 (V out ) 을오실로스코프로측정하시오. 4.7) 에서 입력전압 (V in ) 과출력전압

9 그림 4.7. 연산증폭기포화반파정류측정회로 - XFG1은함수발생기이고 Offset 0V 다음과같이설정 : 정현파, Frequency 1kHz, Amplitude 0.5Vp, - XSC1 은오실로스코프이고이것을 이용해서 입력전압 (V in ) 과 출력전압 (V out ) 의 그래프를출력하시오. (6) Grapher View 를이용해서위에시뮬레이션한연산증폭기의비교기응용회로들의입, 출력파형에대해서 Excel에 export해서 Excel에서그래프로출력하시오. C. 다음 5. In-Lab( 본실험 ): NI ELVIS II 사용 을참고해서실험절차를간단히요약하시오.

10 5. In-Lab( 본실험 ): NI ELVIS II 사용 A. DMM 이용한소자값측정 - 부록 A.1 DMM을이용한전압, 전류, 저항, 캐패시턴스, 인덕턴스, 다이오드전압, 단락측정 을참고해서저항, 캐패시턴스를측정하시오. 표 4.1. DMM을이용한저항, 캐패시턴스측정 소자규격측정값 1kΩ 저항 1kΩ 6.1kΩ 가변저항 10kΩ 최대값 = B. 연산증폭기비교기응용회로측정 (1) ELVIS II 를이용해서연산증폭기의비교기회로측정 ELVIS II를이용해서연산증폭기비교기회로 ( 그림 4.2) 에입력전압 (V in ) 과출력전압 (V out ) 을오실로스코프로측정하시오. - XFG1 은함수발생기이고다음과같이설정 : 정현파, Frequency 1kHz, Amplitude 10Vpp, Offset 0V - XSC1은오실로스코프이고이것을이용해서입력전압 (V in ) 과출력전압 (V out ) 의그래프를출력하시오. (2) ELVIS II 를이용해서연산증폭기의출력제한비교기회로측정 ELVIS II를이용해서연산증폭기출력제한비교기회로 ( 그림 4.3) 에입력전압 (V in ) 과출력전압 (V out ) 을오실로스코프로측정하시오.

11 - XFG1 은함수발생기이고다음과같이설정 : 정현파, Frequency 1kHz, Amplitude 10Vpp, Offset 0V - XSC1은오실로스코프이고이것을이용해서입력전압 (V in ) 과출력전압 (V out ) 의그래프를출력하시오. (3) ELVIS II를이용해서슈미트트리거특성회로측정 ELVIS II를이용해서연산증폭기슈미트트리거특성측정회로 ( 그림 4.4) 에서가변저항변화에따른출력전압변화를다음과같은절차에따라서멀티미터로측정하시오. - 가변저항 ( 포텐셜미터 ) R v 을임의로변화시키면입력전압 V 2 (=V - ) 이 15V로부터 +15V까지증가시키는방향을찾을수있다. 이방향으로 V 2 가 15V로부터 +15V까지증가하도록가변저항 R v 를변화시키면출력전압 V 6 (=V out ) 이변화가없다가갑자기변화하는순간이나타난다. 그때에입력전압 V 2 을측정해서표 4.2에작성하시오. 이때에입력전압이 V UTP 이다. - 가변저항 R v 을임의로변화시키면입력전압 V 2 (=V - ) 이 +15V로부터 -15V까지감소시키는방향을찾을수있다. 이방향으로 V 2 가 +15V로부터 -15V까지감소하도록가변저항 R v 를변화시키면출력전압 V 6 (=V out ) 이변화가없다가갑자기변화하는순간이나타난다. 그때에입력전압 V 2 을측정측정해서표 4.2에작성하시오. 이때에입력전압이 V LTP 이다. 표 4.2. ELVIS II 를이용한슈미트트리거특성측정결과 MultiSim 시뮬레이션 Elvis II 측정값이론값비교 V UTP [V] V LTP [V] - 그림 4.5 그래프에서위에서추출한 V UTP 와 V LTP 값을표시하시오.

12 (4) ELVIS II 를이용해서슈미트트리거회로측정 ELVIS II를이용해서슈미트트리거회로 ( 그림 4.6) 에입력전압 (V in ) 과출력전압 (V out ) 을오실로스코프로측정하시오. - XFG1 은함수발생기이고다음과같이설정 : 정현파, Frequency 1kHz, Amplitude 10Vpp, Offset 0V - XSC1은오실로스코프이고이것을이용해서입력전압 (V in ) 과출력전압 (V out ) 의그래프를출력하시오. (5) ELVIS II 를이용해서연산증폭기의포화반파정류회로측정 ELVIS II를이용해서연산증폭기포화반파정류회로 ( 그림 4.7) 에입력전압 (V in ) 과출력전압 (V out ) 을오실로스코프로측정하시오. - XFG1 은함수발생기이고다음과같이설정 : 정현파, Frequency 1kHz, Amplitude 2Vpp, Offset 0V - XSC1은오실로스코프이고이것을이용해서입력전압 (V in ) 과출력전압 (V out ) 의그래프를출력하시오. (6) 연산증폭기의비교기응용회로들의입, 출력파형을 log 해서얻은데이터를 Excel에서불러서그래프로출력하시오. 6. Post-Lab( 실험후과정 ) 1. Pre-Lab(4 절 ) 에서 MultiSim 으로시뮬레이션한데이터와 In-Lab(5 절 ) 에서 NI ELVIS II 로측정한데이터를비교하시오. 2. Excel 을이용해서시뮬레이션데이터와측정데이터를하나의그래프로그려서비 교하시오.

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators 발진기 (Oscillator) 발진기 : 전원이인가된상태에서외부의입력신호없이회로자체의동작에의해특정주파수의신호 ( 정현파, 구형파, 삼각파, 톱니파 ) 를생성하는회로 종류 : 귀환 발진기 (Feedback oscillator), 이완 발진기 (elaxation oscillator) 귀환발진기 귀환발진기 : 출력신호의일부분이위상변이없이입력으로인가되어출력을강화

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드]

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드] 의료기기제작실습 II 이름 : 이기영 (Lee, Ki Young) 전공 : 의공학 (Medical Engineering) 연구실 : 강릉캠퍼스 50주년기념관 514호이메일 : kylee@kd.ac.kr 학과홈 : http://cms.kd.ac.kr/user/bme/index.html 1 수업계획서 1주 필터회로의분석 2주 필터회로의구현 3주 반전 / 비반전증폭기

More information

슬라이드 1

슬라이드 1 한경대학교전기전자제어공학과 유동상교수 실험목적 - 회로의주파수응답및필터에대해이해 강의내용 - 주파수응답과필터 - 저주파통과필터 - 고주파통과필터 오늘의실험 - Multisim을이용한시뮬레이션 - 브레드보드에회로구성을통한실험및계측 이득 (Gain) : 입력정현파의진폭에대한출력정현파의진폭의비 gain output amplitude input amplitude

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터 실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터의전면패널에꼽는다. 통상적으로검은색프로브는전면패널의검은단자 (COM) 에꼽으며, 빨간색프로브는빨간색단자에꼽는다.

More information

슬라이드 1

슬라이드 1 회로이론 중간고사 -7.. 4 [] 다음소자에정현파전압을인가할때, -I 단자특성을써라 5 점 [] Elcric lap 는고주파에서동작하며에너지를수은증기에전달하여수은증기가 phsphrus 막을때려서빛을발산한다. 그림의회로에서, 가얼마일때최대전력을전달받는가? 등가회로는그림과같고, 는 lap 의크기와 phsphrus 의종류에의해결정된다. 3-4 - OU v 7 rad

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

제 1 장 집적회로 개요

제 1 장  집적회로 개요 실험 #2-A 반도체다이오드의특성실험 1. 실험목적 다이오드의특성에대해조사한다. 2. 서론 모든반도체다이오드는단향적특성을가지고있다. 순방향저항은매우낮은반면에역방향저항은매우높기때문이다. 다이오드에대한전압대전류의곡선을그려가며구체적으로그특성을조사한다. 3. 관련이론 다이오드내부저항 V D V D V T r D Ideal diode I D I D 다이오드의순방향저항

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

¾Ë±â½¬¿îÀ±¸®°æ¿µc03ÖÁ¾š

¾Ë±â½¬¿îÀ±¸®°æ¿µc03ÖÁ¾š & 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 & 27 28 29 30 31 32 33 34 35 36 37 38 & 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 & 55 56 57 58 59 60 61 62 63

More information

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA>

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA> 전력전자 로봇 자동화공학부 www.dongyang.ac.kr 전기회로기초 - 학습내용 교류전압전류의표현방법 전력및역률 계측기사용법 전력용반도체소자및동작원리 전기회로기초 - 계측기사용법 함수발생기 함수발생기 (function generator) 또는신호발생기 (signal generator) 는디지털회로또는아날로그전자회로에정현파, 구형파, 삼각파등의신호를공급하는실험장비

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드]

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드] Opertl Ampler A µa7 tegrted rut h eght etg p 주요한단자. ertg put. ertg put. utput. pte pwer upply 5. egte pwer upply b The rrepdee betwee the rled p umber the tegrted rut d the de the pertl mpler. NC : et

More information

Microsoft PowerPoint - lec06_2007

Microsoft PowerPoint - lec06_2007 Opertil Ampliier A µa74 itegrted circuit h eight cectig pi 주요한단자. iertig iput. iertig iput. utput 4. pitie pwer upply 5. egtie pwer upply b The crrepdece betwee the circled pi umber the itegrted circuit

More information

part3[11-15장].hwp

part3[11-15장].hwp 실험 11. 폐로전류 방정식 1. 실험 목적 1) 폐로전류 방정식에 대한 개념을 이해한다. 2) 실험을 통하여 폐로전류 방정식에 의한 계산 결과를 확인한다. 3) 이론에 의한 계산값과 컴퓨터 시뮬레이션 결과 그리고 실험에 의한 측정 결과값을 상호 비교 검토한다. 2. 관련 이론 회로가 여러개의 전원 및 저항소자에 의한 폐회로로 구성이 될 때, 각 저항소 자에

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

전력시스템공학

전력시스템공학 기초전기공학 5 장. 교류회로 강원대전기공학과 1 학년 2011 년 1 학기 1 5.1 교류란 직류 : DC 시간이지나도전압, 전류의크기가일정 극성도변하지않음 교류 : AC 번갈아방향이바뀌는전압, 전류 사인파교류 or 정현파교류 sine 형태의교류파형 2 패러데이의전자유도법칙 5.2 정현파발생 시간적으로변화하는자장은폐회로에전류를흐르게할수있는전압을유도한다. 이유도전압은폐회로를쇄교하는자력선의시간적변화율에비례한다.

More information

어떤식으로든출력단에접속되어야한다. Ref. 핀처리방법은여러가지가있을수있겠는데, 만약차분증폭기가필요하다면 Ref 핀은접지에접속되어야한다. 여기까지는지금까지배웠던내용인데, 하필이면왜 Sense 라는말과 Ref. 라는말을이핀에다가부쳤을까? 이유가있을테니, 캐내어보자. 먼저,

어떤식으로든출력단에접속되어야한다. Ref. 핀처리방법은여러가지가있을수있겠는데, 만약차분증폭기가필요하다면 Ref 핀은접지에접속되어야한다. 여기까지는지금까지배웠던내용인데, 하필이면왜 Sense 라는말과 Ref. 라는말을이핀에다가부쳤을까? 이유가있을테니, 캐내어보자. 먼저, 4.7 Op Amp. 의 Sense 핀과 Ref. 핀의사용법 * 본게시글은출판예정인가칭 'ADC 를위한아날로그필터설계 ' 서적의일부를선공 개하는것입니다. 저작권은주식회사싱크웍스에있습니다. 일부 Op Amp. 중에는 Sense 와 Ref. 핀을가지고있는제품들이있다. 앞서 4.4 절에서살펴본 INA157 과같은차분 (Difference) 증폭기에도이핀들이있고,

More information

Microsoft PowerPoint - ch12ysk2015x [호환 모드]

Microsoft PowerPoint - ch12ysk2015x [호환 모드] 회로이론 h 가변주파수회로망의동작 김영석 충북대학교전자정보대학 5.9. Email: kimy@cbu.ac.kr k h- 소자의주파수특성 h 가변주파수회로망 : 학습목표 회로망함수의영점 zero 과극점 pole 회로망함수의보드선도 bode plot 직병렬공진회로해석 크기와주파수스케일링개념 저역통과 PF 고역통과 HPF 대역통과 BPF 대역저지 BF 필터특성 수동및능동필터해석

More information

그림 TLC2274 의특징 그림 에서상자쳐놓은부분을유심히보자. 단전원이든, 양전원 (Split-Supply) 이든 모든성능이완전히밝혀져있다고한다. 그러니, 안심하고쓰자. 그렇다면, 다음의 회로가단전원조건에서도잘동작하도록손좀써보자. T 2 a C1

그림 TLC2274 의특징 그림 에서상자쳐놓은부분을유심히보자. 단전원이든, 양전원 (Split-Supply) 이든 모든성능이완전히밝혀져있다고한다. 그러니, 안심하고쓰자. 그렇다면, 다음의 회로가단전원조건에서도잘동작하도록손좀써보자. T 2 a C1 4.8 단전원 (Single Power Supply) 조건에서 Op Amp. 구동 지금껏살펴봐왔던내용은모두양전원 (Dual Power Supply) 하에서곧바로적용가능한 것들이었다. 대부분의교과서급의책들에서도양전원이공급되고있다고가정한다. 전원 공급이나동작점들을파악해야할 DC 해석은생략하는경우가대부분이다. 회로의 진국이라면서 AC 영역해석만깊이다룬다. 하지만,

More information

Microsoft PowerPoint - 8. 전력

Microsoft PowerPoint - 8. 전력 전력 8.. 전력의정의 직류회로의전력 전력 P W Q W Q P t t W Q Q t VI W: 일, t: 시간, Q: 전하량, V: 전압, 전위차, I: 전류 P VI RI I RI V V R V R 8.. 전력의정의 8.. 정현파교류회로에서의전력 평균전력 (average power) 또는유효전력 (effective power) 교류회로에서는전압, 전류가모두변하기때문에,

More information

Microsoft PowerPoint _Lecture_U_01

Microsoft PowerPoint _Lecture_U_01 Introduction to Electrical Engineering (Principles and Applications of Electrical Engineering) School of Mechanical Engineering Chonnam National University Principles and Applications of Electrical Engineering

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open 1. 연산증폭기 -연산증폭기(operational amplifier) 는증폭기를 IC(integrated circuit, 집적회로 ) 로꾸민것이다. -입력임피던스가크고, 출력임피던스가작으며, 증폭률이아주큰특징을가지는증폭기로집적된것이다. -연산증폭기중에서가장널리이용되고있는 741에는 20개의트랜지스터, 11개의저항, 1개의축전기가크기 3mm 3mm에집적되어있다.

More information

Microsoft PowerPoint - 3. BJT

Microsoft PowerPoint - 3. BJT BJT (Bipolar Junction Transistor) BJT 의구조및동작모드 BJT 의구조및동작모드 실제 BJT 는그림 3-1(a) 와같이이미터영역과컬렉터영역의기하학적구조가다르며, 세영역의도핑농도도각기다르게만들어진다. 도핑농도 : ( 이미터 )>( 베이스 )>( 컬렉터 ) 이미터 : 전류운반캐리어 ( 전자또는정공 ) 를제공 컬렉터 : 베이스영역을지나온캐리어가모이는영역

More information

1 요약문 1. 과제명 : 2. 연구기간 : 계약일 ~ 2016.11.25 3. 연구책임자 : 임영석 4. 계획대진도 2 3 시설 장비명 규격수량 용도 보유현황확보방안비고 Digital Oscilloscope 1 전력전송시스템효율측정 보유 전기장및 자기장측정 1 전기장및자기장측정미보유전파연구원시스템 WorkStation 1 무선전력전송시스템시뮬레이션 보유 가속세트

More information

Microsoft Word - KSR2015A135

Microsoft Word - KSR2015A135 2015 년도한국철도학회추계학술대회논문집 KSR2015A135 PSCAD/EMTDC 를이용한직류전기철도급전계통모델링 Modeling for power feeding system of DC electric railway using the PSCAD/EMTDC 정현기 * Hyun-Ki Jung * 초록직류전기철도는 DC 1,500V 전차선로등급전계통에서단락또는지락사고발생시

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

특허청구의 범위 청구항 1 삭제 청구항 2 삭제 청구항 3 삭제 청구항 4 삭제 청구항 5 픽셀전압, 기준전압, 미세램프전압 및 코스램프전압을 수신하는 증폭기, 상기 코스램프전압을 입력받는 코스램 프전압 입력단과 상기 증폭기 사이에 연결되는 스위치 및 상기 스위치와 증

특허청구의 범위 청구항 1 삭제 청구항 2 삭제 청구항 3 삭제 청구항 4 삭제 청구항 5 픽셀전압, 기준전압, 미세램프전압 및 코스램프전압을 수신하는 증폭기, 상기 코스램프전압을 입력받는 코스램 프전압 입력단과 상기 증폭기 사이에 연결되는 스위치 및 상기 스위치와 증 (19) 대한민국특허청(KR) (12) 등록특허공보(B1) (51) 국제특허분류(Int. Cl.) H03M 1/12 (2006.01) H04N 5/3745 (2011.01) (21) 출원번호 10-2012-0025574 (22) 출원일자 2012년03월13일 심사청구일자 (56) 선행기술조사문헌 US20030193595 A1* KR1020110129543 A

More information

실험 4

실험 4 실험 7. Op-amp 회로. 실험목적 op-amp 의특성및 74 op-amp 를이용한몇가지회로의동작원리를이해하고 그회로를직접구성하여동작을확인한다.. 실험관련이론. Op-amp Op-amp, 즉연산증폭기란수학적기능을수행하는증폭기를의미한다. 최초의 op-amp는아날로그컴퓨터에사용되었으며덧셈, 뺄셈, 곱셈등의수학적연산을수행했다. 대표적인 op-amp는 0부터 MHz

More information

untitled

untitled 실험 5. Op-Amp 회로. 실험목적 Op-Amp의특성및 74 Op-Amp를이용한몇가지회로의동작원리를이해하고그회로를직접구성하여동작을확인한다.. 실험관련이론. Op-Amp Op-Amp, 즉연산증폭기란수학적기능을수행하는증폭기를의미한다. 최초의 Op-Amp는아날로그컴퓨터에사용되었으며덧셈, 뺄셈, 곱셈등의수학적연산을수행했다. 대표적인 Op-Amp는 0부터 MHz

More information

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI.

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100  / . ( )....,,,, EMI, RFI. , ?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC.. 1 1. 0%.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI. . 0.. NFPA IEEE 5.0. NEC " NEC 50.56 5. 5.0.".?.??

More information

Microsoft Word - 000 Circuit KOR rev6_20150901_한영일치

Microsoft Word - 000 Circuit KOR rev6_20150901_한영일치 [국제캠퍼스 실험 전용] 2-06. 직류 회로 및 교류 회로 Objective 전자 회로를 구성하는 기본 소자인 저항(Resistor), 축전기(Capacitor), 인덕터(Inductor)를 이해하고, 직류 및 교류 특성을 확인한다. 또한, 축전기와 인덕터가 포함된 회로에서 교류 신호의 공명 진동수를 확인한다. Theory -----------------------------

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

과목 : 전자회로실험과제명 : Diode의기본 ( 결과 ) 담당교수 : 손승대교수님학부전공 : 정보통신공학부전자전기공학전공 학 번 : 오영환 조호신

과목 : 전자회로실험과제명 : Diode의기본 ( 결과 ) 담당교수 : 손승대교수님학부전공 : 정보통신공학부전자전기공학전공 학 번 : 오영환 조호신 과목 : 전자회로실험과제명 : Diode의기본 ( 결과 ) 담당교수 : 손승대교수님학부전공 : 정보통신공학부전자전기공학전공 학 번 : 2009313537 오영환 2005310605 조호신 1. 서론 이번실험에서는 Diode 의수학적인모델 (Shockley Model) 을실험적으로확인해본다. 즉, 다이오 드에소신호가입력될때, 전압 - 전류간에선형화된모델을쓰는방법과대신호에대한지수함수

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

<333920BCDBC7D1C1A42DBCD2C7FC20C0FCC0DAB1E2B1E2B8A620C0A7C7D120BDBAC0A7C4A1B5E520C4BFC6D0BDC3C5CD20B9E6BDC42E687770>

<333920BCDBC7D1C1A42DBCD2C7FC20C0FCC0DAB1E2B1E2B8A620C0A7C7D120BDBAC0A7C4A1B5E520C4BFC6D0BDC3C5CD20B9E6BDC42E687770> 한국산학기술학회논문지 Vol. 11, No. 12 pp. 4984-4990, 2010 소형전자기기를위한스위치드커패시터방식의강압형 DC-DC 변환기설계 권보민 1, 허윤석 2, 송한정 2* 1 인제대학교나노시스템공학과, 2 인제대학교나노공학부 Design of Step-down DC-DC Converter using Switched-capacitor for Small-sized

More information

Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 3 pp , ISSN 197

Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 3 pp , ISSN 197 Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 3 pp. 1700-1706, 2014 http://dx.doi.org/10.5762/kais.2014.15.3.1700 ISSN 1975-4701 / eissn 2288-4688 손현식 1, 이민지 1, 박원경 1, 송한정 1*

More information

2Stage BJT Amplifier 를이용한 FM 송신기의설계및제작 (Design of FM Transmitter using 2 Stage BJT Amplifier) - 통신시스템설계 - 천성용 Dept. of Electronic Engineering, Yeungna

2Stage BJT Amplifier 를이용한 FM 송신기의설계및제작 (Design of FM Transmitter using 2 Stage BJT Amplifier) - 통신시스템설계 - 천성용 Dept. of Electronic Engineering, Yeungna 2Stage BJT Amplifier 를이용한 FM 송신기의설계및제작 (Design of FM Transmitter using 2 Stage BJT Amplifier) - 통신시스템설계 - 천성용 Dept. of Electronic Engineering, Yeungnam University, KOREA whiteyongi@yu.ac.kr 1. Abstract

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

GenPhyExp 2_6 Oscilloscope를 이용한 RC, RL, RLC 회로의 특성 측정.hwp

GenPhyExp 2_6 Oscilloscope를 이용한 RC, RL, RLC 회로의 특성 측정.hwp Oscilloscope 를이용한 RC, RL, RLC 회로의특성측정 1. 실험목적 Oscilloscope를이용하여파형발생기로발생시킨교류파형의 peak-to-peak 전압과진동수를측정한다. 이과정을통해 Oscilloscope의사용법을숙지하고, Oscilloscope를이용하여저항기 (R) 와축전기 (C) 그리고유도기 (L) 로구성된여러회로의특성을측정및이해한다.

More information

<STM32CubeMX Guide In Korean>

<STM32CubeMX Guide In Korean> Crystal oscillator design guide for STM8 and STM32 microcontrollers. INTRODUCTION 이문서는 STM8, STM32 시리즈를적용한 Hardware 설계시외부 clock 소스로 crystal 을사용할경우고려해야할내용에대해소개하기위해작성되었습니다. 이문서는 ST 에서제공하는 AN2867 Oscillator

More information

CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램

CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun (csy1000@hanmir.com) 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 Dept. of Electronic Engineering, Yeungnam University,

More information

슬라이드 1

슬라이드 1 에너지시스템공학 : 전기에너지 3 주차강의내용 정현파 페이저변환, 임피던스, 어드미턴스 공진, 교류회로해석 순시전력, 평균전력, 역률 변압기 삼상회로 3. 정현파 (Sinusoidal wave 자기장이존재하는공간에서코일을회전 : 전류가발생 교류발전기기전력 : v( t sint : 진폭 v( t T v( t 주기함수 f ( rad / s f T T 일반적인정현파

More information

PowerPoint Presentation

PowerPoint Presentation 실험 7. 생체온도및손가락힘측정 Force sensing resistor (FSR) Thermistor 실험절차 압력센서의종류 특징기계식센서고분자센서전기식 / 반도체센서 외형 측정대상 기체 / 액체 큰하중 미세변동 측정면적 중 / 대면적 중면적 소면적 가격 중 고 고 융합도 저 고 중 활용도 저 중 중 압력측정방식의비교 Type Data Pros Cons Piezo-capacitive

More information

006 007 007 009 012 012 012 013 013 013 018 019 033 045 051 052 060 066 067 077 083 084 099 108 117 118 122 135 140 141 141 142 143 143 145 148 154 01 006 007 007 009 " # $ % 02 012 012 012 013 013 013

More information

¿À¸®ÄÞ40

¿À¸®ÄÞ40 BRAND REPORT 0 1 2008.3 NO.40 CONTENTS 0 2 BRAND REPORT BRAND REPORT 0 3 (%) 20 15 10 5 0 9.3 20.1 0 4 BRAND REPORT 30 25 0.3% 2.5% 10% 5% 20 15 10 5 0 BRAND REPORT 0 5 0 6 BRAND REPORT BRAND REPORT 0

More information

Science Cube 1.0 User Guide

Science Cube 1.0 User Guide 자동인식 기능 Excel을 이용한 실험 LabVIEW 활용 가능 PDA 이용 가능 뛰어난 활용도와 확장성 사용하기전에 설치준비 프로그램준비 EXCEL로 실험하기 VI로 실험하기 사이언스큐브 정보 알아두면 편리합니다. 실험을 하기 전에 반드시 설명서를 읽어주세요. 경고 주의 경 고 분리, 개조 사용금지 임의규격 제품 사용금지 사용자임의 사용금지 경 고 안전사용을

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류 Section 03 트랜지스터를이용한스위칭동작 15/45 스위치 ON/OFF 의전기적특성 트랜지스터와기계적인스위치를이용한 LED ON/OFF 동작비교 LED 를켜기위한회로 ([ 그림 5-6]) Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스»

More information

01. Start JAVA!

01. Start JAVA! 세상은우리들의생각보다빠르게변하고있습니다. 1 v1.0 01. 회로도 2 v1.0 전자공학기초이론 (1/4) 전류 (current) 전도체나반도체를통한전자흐름, 단위는암페어 (Ampere) 이며 A 를단위기호로사용 회로 (circuit) 전류가계속흐르게하기위해, 한방향으로는전자가, 반대방향으로홀이지속적으로순환하는흐름 전압차 (voltage difference)

More information

Microsoft PowerPoint - 제11장 [호환 모드]

Microsoft PowerPoint - 제11장 [호환 모드] 학습개요 Chapter Objectives 인덕터의기본구조와특성 인덕터의종류 직 병렬인덕터분석 유도성 dc 스위칭회로에대해설명 유도성 ac 회로의분석 인덕터응용예 Inductors 11.1 인덕터기초 인덕터는권선코일에의해구성된수동전기소자로인덕턴스성질을나타냄. 인덕턴스의정의및단위 인덕터에서발생되는유도전압 인덕터에서의에너지저장원리 권선저항과권선캐패시턴스 패러데이의법칙

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT

Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT 기초전자실험 PSpice 2005. 9. 30. Pspice 기초 - 설치 - 사용법 -LPF 설계 Pspice 란? SPICE(Simulation Program with Integrated Circuit Emphasis) 전자회로컴퓨터시뮬레이션툴임. 실제로전기, 전자, 디지털회로를제작하기전에, 컴퓨터를이용하여계산하고, 측정, 평가하여해석및설계를하는툴 Pspice

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

¿À¸®ÄÞ38

¿À¸®ÄÞ38 BRAND REPORT 0 1 2007.11 NO.38 CONTENTS 0 2 BRAND REPORT BRAND REPORT 0 3 0 4 BRAND REPORT BRAND REPORT 0 5 0 6 BRAND & COMMNICATION BRAND & COMMNICATION 0 7 0 8 BRAND & COMMUNICATION BRAND & COMMUNICATION

More information

Microsoft Word - KSR2014S186

Microsoft Word - KSR2014S186 2014 년도한국철도학회춘계학술대회논문집 KSR2014S186 동봉과탄소블록의접지임피던스의경년변화 Seasonal Variation of Ground Impedance for a Copper-rods and a Carbon-block 김선재 *, 정기우 *, 왕국명 *, 길경석 * Sun-Jae Kim *, Gi-Woo Jeong *, Guoming Wang

More information

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770>

<333720C0AFC0CEC8A32D4443C1A4C7D5C8B8B7CEB8A65FB0AEB4C25FB4C9B5BF5F C FB7B9B1D6B7B9C0CCC5CD2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 12, No. 6 pp. 2729-2734, 2011 DOI : 10.5762/KAIS.2011.12.6.2729 DC 정합회로를갖는능동 Replica LDO 레귤레이터 유인호 1, 방준호 1*, 유재영 2 1 전북대학교 IT 응용시스템공학과

More information

인터넷 올릴용.hwp

인터넷 올릴용.hwp - i - - ii - - iii - - iv - - v - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - 영역수와연산문자와식기하함수 - 9 - 확률과 통계 영역명제와논리지수와로그수열확률과통계도형과그래프 내용 영역 행렬과그래프 내용 - 10 - 지수함수와로그함수수열수열의극한 영역함수의극한과연속다항함수의미분법다항함수의적분법확률통계

More information

NCV8871 을이용한 Sepic 회로설계 VO 와같다. 그림 4 는인덕터전류및전압파형 을나타낸것이다. 전압 시간평형원리에따르면다음 과같은값들을얻을수있다. 1 인덕터 L1 의경우 V L1 I C1 C1 V D1 D1 L1 V C1 I D1 V IN C IN V S1 S

NCV8871 을이용한 Sepic 회로설계 VO 와같다. 그림 4 는인덕터전류및전압파형 을나타낸것이다. 전압 시간평형원리에따르면다음 과같은값들을얻을수있다. 1 인덕터 L1 의경우 V L1 I C1 C1 V D1 D1 L1 V C1 I D1 V IN C IN V S1 S TECHNICAL REPORT EE NCV8871을 이용한 Sepic 회로 설계 최근에는 자동차 시스템에서 점점 더 많은 전자 장치들이 사용되고 있는데, 이것은 파워서플라이에 더 많은 요구사항, 예를 들면 더 높은 출력 전류나 다양한 출력 전압 등이 요구된다는 것을 의미한다. 자동차 시스템 에서 가장 인기있는 파워 서플라이는 선형 레귤레이터와 스텝다운 스위칭

More information

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin 4.3 Rail to Rail Input Output(RRIO) 방식의장점 최근들어, 저전압용으로개발되는 Op Amp. 는거의다 RRIO 방식을채택하고있는데, 이 RRIO 방식을단순히출력진동폭을최대화할수있는구조라는정도로받아들이고넘어가기에는좀찝찝해서이절을준비했다. 왜그런지를이해하기위해서는트랜지스터수준에서의회로설명이필요한데, 트랜지스터에관한설명은최대한억제한채,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

<30322DBABBB9AE2D31B0FAB8F12E687770>

<30322DBABBB9AE2D31B0FAB8F12E687770> 제 7 장 회로망 7.1 이상적인전압원과전류원 (1) 이상적인전압원 : 내부임피던스 Z = 0 (2) 이상적인전류원 : 내부임피던스 Z = (3) 전압원과전류원의등가회로 [A] [Ω] 7.2 선형회로망 1 중첩의정리 (Superposition theorem) 회로망내에다수의기전력이동시에존재할때, 회로전류는각기전력이각각단독으로그위치에존재할때흐르는전류를각각대수적으로합하여구하는정리

More information

www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr www.dmsscadstar.co.kr

More information

<47656E F3520BBF3C8A3C0AFB5B5BFA120C0C7C7D820B9DFBBFDB5C7B4C220C0AFB5B5B1E2C0FCB7C220C3F8C1A4202D20C0DAB1E2C0E520B3BBBFA1BCAD20BFF8BFEEB5BFC7CFB4C220C0FCC0DAC0C720BAF1C0FCC7CF20C3F8C1A42E687770>

<47656E F3520BBF3C8A3C0AFB5B5BFA120C0C7C7D820B9DFBBFDB5C7B4C220C0AFB5B5B1E2C0FCB7C220C3F8C1A4202D20C0DAB1E2C0E520B3BBBFA1BCAD20BFF8BFEEB5BFC7CFB4C220C0FCC0DAC0C720BAF1C0FCC7CF20C3F8C1A42E687770> 상호유도에의해발생되는유도기전력측정 / 자기장내에서원운동하는전자의비전하 () 측정 1. 실험목적 A. 상호유도에의해발생되는유도기전력측정 : Maxwell의방정식과 Faraday의유도법칙을이해하고, 변화하는자기력선속에의해유도되는유도기전력의크기를구한다. B. 자기장내에서원운동하는전자의비전하 () 측정 : 운동하는전자를자기장속에서휘게하여원운동을시켜원운동의반지름, 자기장의세기,

More information

절연저항 테스팅전문가들로부터의최신의장비 여러분의요구사항에귀기울인결과, 이제최상의절연저항측정이가능해졌습니다. 시간이지날수록테스팅전문가들은절연저항측정의중요성을강조합니다. 절연저항계는유지보수프로그램에결정적인장비이며, 다양한유틸리티와산업적, 상업적어플리케이션의트러블슈팅에중요합

절연저항 테스팅전문가들로부터의최신의장비 여러분의요구사항에귀기울인결과, 이제최상의절연저항측정이가능해졌습니다. 시간이지날수록테스팅전문가들은절연저항측정의중요성을강조합니다. 절연저항계는유지보수프로그램에결정적인장비이며, 다양한유틸리티와산업적, 상업적어플리케이션의트러블슈팅에중요합 절연저항 Insulation resistance testing 모든 어플리케이션을 위한 완벽한 솔루션 절연저항 테스팅전문가들로부터의최신의장비 여러분의요구사항에귀기울인결과, 이제최상의절연저항측정이가능해졌습니다. 시간이지날수록테스팅전문가들은절연저항측정의중요성을강조합니다. 절연저항계는유지보수프로그램에결정적인장비이며, 다양한유틸리티와산업적, 상업적어플리케이션의트러블슈팅에중요합니다.

More information

<C0CEC1A4B9FCC0A728B1B9B9AE292E786C73>

<C0CEC1A4B9FCC0A728B1B9B9AE292E786C73> 인정번호 : KC00-005 호 (1/119) 102. 선형치수 다이얼 / 실린더게이지시험기 10206 (0 ~ 25) mm 닥터블레이드 10207 (0 ~ 10) mm 엔드바, 마이크로미터기준봉 10209 (0 ~ 100) mm 길이변위계, LVDT 10210 (0 ~ 500) mm 틈새게이지 10211 (0 ~ 5) mm 필름어플리케이터 10212 (0

More information

Microsoft PowerPoint - Ch3

Microsoft PowerPoint - Ch3 Ch. 3 Special Purpose Diodes 3-4. 광학다이오드 (Optical diodes) 광학다이오드 광방출다이오드 (LED) : 빛을방출하는다이오드 광다이오드 (Photodiode) : 빛을검출하는다이오드 광방출다이오드 (LED: light emitting diode) 전계발광 (electroluminescence): 순방향바이어스 : n영역의자유전자

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 자기구동능동클램프를이용한부스트입력형 ZVS 컨버터에관한연구 논 문 60-4-16 A Study on a Boost-Input Self-Driven Active Clamp ZVS Converter 진호상 * 김희준 (Ho-Sang Jin Hee-Jun Kim) Abstract - This paper proposes a boost-input self-driven

More information

ㄱ ㄱ ㅇㅇ ㅇㅇㅇㅇ ㄱ ㄱㅇㅇ ㅇㅇㅇ

ㄱ ㄱ ㅇㅇ ㅇㅇㅇㅇ ㄱ ㄱㅇㅇ ㅇㅇㅇ ㄱ ㄱ ㅇㅇ ㅇㅇㅇㅇ ㄱ ㄱㅇㅇ ㅇㅇㅇ ㄱ ㅇㅇ ㅇㅇ ㄱ ㄱ ㅇㅇ ㅇㅇ ㅇㅇㅇ ㅇㅇㅇ ㅇㅇ 1 차신청세대 : 적색, 2 차신청세대 : 녹색 신축 이전 신축 이후 피신청인 아파트 신축 전 후 일조 방해 정도 동지일 15:00 (신축 전) 동지일 15:00 (신축 후) 시뮬레이션 결과 신청인 아파트에서 수인한도 일조시간 를 만족하지 못하는 세대는 동의 세대 호

More information

A Hierarchical Approach to Interactive Motion Editing for Human-like Figures

A Hierarchical Approach to Interactive Motion Editing for Human-like Figures 단일연결리스트 (Singly Linked List) 신찬수 연결리스트 (linked list)? tail 서울부산수원용인 null item next 구조체복습 struct name_card { char name[20]; int date; } struct name_card a; // 구조체변수 a 선언 a.name 또는 a.date // 구조체 a의멤버접근 struct

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

개인용전기자극기의 안전성및성능평가가이드라인

개인용전기자극기의 안전성및성능평가가이드라인 개인용전기자극기의 안전성및성능평가가이드라인 2014. 3 목 차 1 서론 - 1 - - 2 - - 3 - 2 개인용전기자극기개요 - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - 범례 해설: GZJ [통증완화용경피전기신경자극기]: 100개 IPF [재활치료용전동식근육자극기]: 92개 NGX [근육운동용전동식근육자극기]: 28개

More information