슬라이드 1

Size: px
Start display at page:

Download "슬라이드 1"

Transcription

1 임베디드시스템개론 : AVR MCU & Arduino 활용 Lecture #09: 시리얼통신 (Serial Comm.)

2 강의목차 시러얼통신개요 I2C 통신개요 I2C 통신실험 I2C Text LCD DS1307 / DS1302 RTC 2

3 3 1. Serial Communication

4 아두이노통신 아두이노통신개요 아두이노 MCU 와 on-board 장치또는외부연결장치간의통신 통신프로토콜에따른데이터송수신을위해개별적인통신장치 ( 모듈 ) 을사용 외부와의통신을위해별도의통신장치가필요 MCU 내장또는외부확장통신장치등 통신모듈의지원여부에따른분류 : MCU 내장통신장치 ( 모듈 ) - I2C, SPI, UART 외부통신장치 ( 모듈 ) - USB, Ethernet, Wifi, Zigbee, Bluetooth, IR 등 4

5 시리얼통신 (1) 시리얼통신개요 장치간에비트단위로데이터를전송하는통신 비동기 (Asynchronous) & 동기 (Synchronous) 통신방식존재시리얼통신프로토콜 I2C(Inter-Integrated Circuit) SPI(Serial Peripheral Interface) UART(Universal Asynchronous Receive-Transmit) 5

6 시리얼통신 (2) 시리얼통신개요 : 비동기및동기전송비교 Asynchronous No Clock 정해진시간에맞추어전송신호를 HIGH/LOW 로설정하여데이터를표현 발신과수신을위해별도의선을사용 단지두개의장치간에통신하고자하고상호전송속도를같게설정되어있을때에유용 Synchronous With Clock clock 변화에맞추어전송신호를 HIGH/LOW 로설정하여데이터를표현 Clock 신호와전송방향별로별도의선을사용 일반적으로빠른전송속도가필요할때에유용 6

7 시리얼통신 (3) 시리얼통신개요 : 비동기및동기전송비교 7

8 8 2. UART(Universal Asynchronous Receiver/Transmitter)

9 UART (1) UART(Universal Asynchronous Receiver Transmitter) 대표적인비동기시리얼통신규약 정해진통신속도 (baud rate) 에맞추어병렬데이터를비트단위로직렬전송하고, 수신된직렬데이터를병렬데이터를변환하는기능을지원 기본적으로 Tx(Transmitter)/Rx(Receiver) 2 개의통신라인을사용 필요에따라 handshake 라인 (DTS/DTR) 을사용하기도함 별도의동기화메커니즘을사용하지않으므로통신하기전에송수신측에서동일한통신파라미터를설정하여야함. 통신속도 (Baud Rate) 9600~ bsp Start bit 0, 1, 2 Data bit 7, 8 Stop bit 0, 1 Parity bit none, odd, even 9

10 UART (2) 아두이노 UART Arduino Mega MCU(Atmega-2560) 에서는최대 4 개의 UART 장치를지원 Serial(pin0/pin1), Serial1(pin19/pin18), Serial2(pin17/pin16), Serial3(pin15/pin14) Arduino library 에서 UART 통신을위한 API 지원 Serial 내장객체 (Serial, Serial1, Serial2, Serial3) 통신메소드 begin(), end(), available(), read(), peek(), flush(), print(), println(), write(), SerialEvent() 참조 : 10

11 UART (3) RS-232 표준 (1) 비동기직렬통신에필요한전기적인신호특성 ( 전압, 타이밍등 ) 과기계적특성 ( 커넥터모양, 핀배치 ) 등모든사양을규정 미국 Electronic Industries Association (EIA) 에서정한표준 주로 PC 및통신장비의콘솔통신을위해사용하는직렬포트용 DB-9 커넥터의모양및핀배치표준도규정 송신신호 (TxD) 와수신신호 (RxD) 이외에도다른용도의여러신호들도정의함 데이터흐름제어 (flow control) 나반송파검출 (carrier detection) 등의다른기능은사용하지않고순수하게통신만하겠다면 TxD 와 RxD 두신호로충분 11

12 UART (4) RS-232 표준 (2) UART 장치가있으면비동기통신이가능하지만 UART 의송수신핀을 PC 의직렬포트 (RS-232 포트 ) 에바로연결할수는없다. RS-232 표준에서규정하고있는전압레벨은 TTL 호환 (TTL compatible) 이아니기때문 RS-232 표준은논리 1 에해당하는전압의범위를 -3 V ~ -15 V 로, 논리 0 에해당하는전압의범위를 +3 V ~ +15 V 로규정한다. RS-232 Transceiver RS-232 표준을따르기위해서는 0 V ~ 3 V ( 또는 3.3 V 또는 5 V) 범위의 TTL 신호를 RS-232 레벨신호로변환하고또그반대방향으로도전압을변환해주는전용회로 ( 또는 IC) 가필요 Maxim 사의 MAX232 가대표적인예 12

13 13 3. I2C(IIC)

14 I2C (1) I2C(Inter-Integrated Circuit) Bus 데이터라인을공유하는동기시리얼통신버스하나의버스에 127 장치까지연결가능 1Mbps 전송속도까지가능간단한통신프로토콜이장점대부분의 MCU에 I2C 통신제어장치내장참조 : 14

15 I2C (2) I2C(Inter-Integrated Circuit) Bus 마이크로프로세서와저속주변장치사이의통신을위한용도로 Philips 에서개발한규격 TWI (Two Wire Interface) I2C 버스는양방향오픈드레인선인 SCK(serial clock) 과 SDA(serial data) 로이루어져있으며마스터 - 슬레이브형태로동작한다. SCK 은통신동기를위한클럭선, SDA 는데이터선 마스터는 SCK 로동기를위한클럭을출력하며, 슬레이브는 SCK 로출력되는클럭에맞추어 SDA 를통해데이터를출력하거나입력받는다. SDA 한선으로만데이터를주고받기때문에반이중 (half duplex) 통신만가능 15

16 I2C (3) I2C(Inter-Integrated Circuit) Bus SCK 선과 SDA 선은모두오픈드레인이므로두선에는각각풀업저항을연결해주어야한다. 16

17 I2C (4) I2C(Inter-Integrated Circuit) Bus 17

18 I2C (4) I2C(Inter-Integrated Circuit) Bus 통신프로토콜 18

19 19 4. I2C 통신실험

20 I2C Text LCD (1) I2C Text LCD I2C Converter 모듈을이용하여 I2C 통신인터페이스를이용하여출력이가능한 Text LCD 20

21 I2C Text LCD (2) I2C LCD 모듈 (I2C Converter) 기존의 16*2 Character LCD 에결합하여사용할수있는 breakout board 형태의모듈 I2C interface 를사용해 LCD 제어가가능 2 개의디지털핀만사용 아두이노에연결하여제어할경우두개의 AnalogPin(SDA, SCL) 으로제어가가능 주요사양 1 줄 16 개문자, 2 줄제어가능 동작전압 : 5V I2C 주소 (Address) : 0x20, 0x27 문자선명도 : 가변저항을통해문자의선명도조절가능 backlight : 점퍼스위치를통해 backlight On / Off 21

22 I2C Text LCD (3) I2C LCD 모듈 (I2C Converter) I2C Address 주소설정핀 (or Solder Pads): A0, A1, A2 Not-connected 1 / Connected - 0 (or 0x3F) 22

23 I2C Text LCD (4) Arduino I2C Library (1) Wire Library 주요 APIs: begin() requestfrom() begintransmission() endtransmission() write() available() read() SetClock() onreceive() onrequest() 23

24 I2C Text LCD (5) Arduino I2C Library (2) Wire Library 예제 : master writer / slaver receiver Master Slaver 24 #include <Wire.h> void setup() { Wire.begin(); // join i2c bus (address optional for master) byte x = 0; void loop() { Wire.beginTransmission(8); // transmit to device #8 Wire.write("x is "); // sends five bytes Wire.write(x); // sends one byte Wire.endTransmission(); // stop transmitting x++; delay(500); #include <Wire.h> void setup() { Wire.begin(8); // join i2c bus with address #8 Wire.onReceive(receiveEvent); // register event Serial.begin(9600); // start serial for output void loop() { delay(100); void receiveevent(int howmany) { while (1 < Wire.available()) { // loop through all but the last char c = Wire.read(); // receive byte as a character Serial.print(c); // print the character int x = Wire.read(); // receive byte as an integer Serial.println(x); // print the integer

25 I2C Text LCD (6) I2C Text LCD Library (1) 라이브러리다운로드사이트 : LiquidCrystal-I2C KyJ56OlEcJZmN4UWwtX2hydDg/view?usp=sharing LiquidCrystal-I2C Library API LiquidCrystal Library API 와상당히유사 문서참조 25

26 26 lcd.begin(); lcd.display(); lcd.nodisplay(); lcd.setcursor(col,row); lcd.cursor(); lcd.nocursor(); lcd.home(); lcd.blink(); lcd.noblink(); lcd.backlight(); lcd.nobacklight(); lcd.write(val); lcd.print(val); lcd.clear(); lcd.scrolldisplayright(); lcd.scrolldisplayleft(); lcd.autoscroll(); LCD 를사용을시작 LCD 에내용을표시 LCD 에내용을숨김 row, col 의좌표로커서를위치 LCD 에커서를표시 LCD 에커서를숨김 커서의위치를 0,0 으로이동 커서를깜빡임 커서를깜빡이지않음 LCD backlight 을킴 LCD backlight 를끔 LCD 화면에 val 출력 ( 아스키코드입력시에는아스키코드에해당하는문자출력 ) LCD 화면에 val 출력 LCD 화면의모든내용지움 내용을우측으로 1 칸이동 내용을좌측으로 1 칸이동 내용을자동으로우에서좌로스크롤

27 I2C Text LCD (7) I2C Text LCD Library (2) LiquidCrystal-I2C Library API Cursor 제어함수에서 Cursor 의 row 와 col( 줄과행 ) 좌표참고 27

28 I2C Text LCD (8) I2C Text LCD 주소테스트 (1) I2C Text LCD 주소를확인하여출력 참조 회로구성 28

29 I2C Text LCD (9) I2C Text LCD 주소테스트 (2) 아두이노프로그램 #include <Wire.h> void setup() { Serial.begin (115200); Serial.println ("I2C scanner. Scanning..."); byte count = 0; Wire.begin(); for (byte i = 8; i < 120; i++) { Wire.beginTransmission (i); if (Wire.endTransmission () == 0) { Serial.print ("Found address: "); Serial.print (i, DEC); Serial.print (" (0x"); Serial.print (i, HEX); Serial.println (")"); count++; delay (1); // maybe unneeded? // end of good response // end of for loop serial.println ("Done."); Serial.print ("Found "); Serial.print (count, DEC); Serial.println (" device(s)."); // end of setup void loop() { // no operations 출력결과 : 0x3F 29

30 I2C Text LCD (10) I2C Text LCD 출력테스트 (1) Hello, World! 텍스트출력 회로구성 앞실험과동일 30

31 I2C Text LCD (11) I2C Text LCD 출력테스트 (2) 아두이노프로그램 #include <Wire.h> #include <LiquidCrystal_I2C.h> // Set the LCD address to 0x27 for a 16 chars and 2 line display LiquidCrystal_I2C lcd(0x3f, 16, 2); // I2C LCD 객체선언 Void setup() { // initialize the LCD lcd.begin(); // lcd 를사용을시작합니다. lcd.backlight(); // backlight 를 On 시킵니다. lcd.print( Hello, world! ); // 화면에 Hello, world! 를출력합니다. void loop(){ 31

32 I2C Text LCD (12) I2C Text LCD 기능테스트 예제참조 예제기능 시리얼모니터로입력받은데이터에따라아래와같이동작 - 1 을입력받았을때 : backlight 가 1 초가소등되었다가점등됩니다. - 2 를입력받았을때 : LCD 에표시된글자가 1 초간사라졌다가나타납니다. - 3 을입력받았을때 : Cursor 가 1 초간깜빡이다가사라집니다. - 4 를입력받았을때 : 커서가 1 초간화면에나타났다가사라집니다. - 5 를입력받았을때 : 화면에표시된내용이 1 초간우측으로 1 칸이동후원래자리로돌아옵니다. - 6 을입력받았을때 : 커서가화면에표시된후커서위치가 col 0 부터 16 까지이동후에사라집니다. 32

33 DS1307 RTC 를이용한시계 (1) 참고 Sites tronixstuff.wordpress.com/tutorials Ch. 20 & 21 Arduino Wire Library 33

34 DS1307 RTC 를이용한시계 (2) DS1307 IC 34

35 DS1307 RTC 를이용한시계 (3) DS1307 IC 35

36 DS1307 RTC 를이용한시계 (4) 회로도 36

37 DS1307 RTC 를이용한시계 (5) 회로구성 37

38 DS1307 RTC 를이용한시계 (6) DS1307 Pulse 출력 (1) Arduino Wire Library 사용레지스터 #07 설정하여펄스출력 38 /* DS1307 Square-wave machine Used to demonstrate the four different square-wave outputs from Maxim DS1307 See page nine of data sheet for more information John Boxall - tronixstuff.wordpress.com */ #include "Wire.h" #define DS1307_I2C_ADDRESS 0x68 // each I2C object has a unique bus address, the DS1307 is 0x68 void setup() { Wire.begin(); void sqw1() // set to 1Hz { Wire.beginTransmission(DS1307_I2C_ADDRESS); Wire.write(0x07); // move pointer to SQW address Wire.write(0x10); // sends 0x10 (hex) (binary) Wire.endTransmission();

39 DS1307 RTC 를이용한시계 (7) DS1307 Pulse 출력 (2) void sqw2() // set to khz { Wire.beginTransmission(DS1307_I2C_ADDRESS); Wire.write(0x07); // move pointer to SQW address Wire.write(0x11); // sends 0x11 (hex) (binary) Wire.endTransmission(); void sqw3() // set to khz { Wire.beginTransmission(DS1307_I2C_ADDRESS); Wire.write(0x07); // move pointer to SQW address Wire.write(0x12); // sends 0x12 (hex) (binary) Wire.endTransmission(); 39 void sqw4() // set to khz (the crystal frequency) { Wire.beginTransmission(DS1307_I2C_ADDRESS); Wire.write(0x07); // move pointer to SQW address Wire.write(0x13); // sends 0x13 (hex) (binary) Wire.endTransmission();

40 DS1307 RTC 를이용한시계 (8) DS1307 Pulse 출력 (3) void sqwoff() // turns the SQW off { Wire.beginTransmission(DS1307_I2C_ADDRESS); Wire.write(0x07); // move pointer to SQW address Wire.write(0x00); // turns the SQW pin off Wire.endTransmission(); 40 void loop() { sqw1(); delay(5000); sqw2(); delay(5000); sqw3(); delay(5000); sqw4(); delay(5000); sqwoff(); delay(5000);

41 DS1307 RTC 를이용한시계 (9) DS1307 시계출력 (1) #include "Wire.h" #define DS1307_I2C_ADDRESS 0x68 // Convert normal decimal numbers to binary coded decimal byte dectobcd(byte val) { return ( (val/10*16) + (val%10) ); // Convert binary coded decimal to normal decimal numbers byte bcdtodec(byte val) { return ( (val/16*10) + (val%16) ); 41

42 DS1307 RTC 를이용한시계 (10) DS1307 시계출력 (2) 42 // 1) Sets the date and time on the ds1307 // 2) Starts the clock // 3) Sets hour mode to 24 hour clock // Assumes you're passing in valid numbers void setdateds1307(byte second, // 0-59 byte minute, // 0-59 byte hour, // 1-23 byte dayofweek, // 1-7 byte dayofmonth, // 1-28/29/30/31 byte month, // 1-12 byte year) // 0-99 { Wire.beginTransmission(DS1307_I2C_ADDRESS); Wire.write(0); Wire.write(decToBcd(second)); // 0 to bit 7 starts the clock Wire.write(decToBcd(minute)); Wire.write(decToBcd(hour)); Wire.write(decToBcd(dayOfWeek)); Wire.write(decToBcd(dayOfMonth)); Wire.write(decToBcd(month)); Wire.write(decToBcd(year)); Wire.write( ); // sends 0x10 (hex) (binary) to control register - turns on square wave Wire.endTransmission();

43 DS1307 RTC 를이용한시계 (11) DS1307 시계출력 (3) 43 // Gets the date and time from the ds1307 void getdateds1307(byte *second, byte *minute, byte *hour, byte *dayofweek, byte *dayofmonth, byte *month, byte *year) { // Reset the register pointer Wire.beginTransmission(DS1307_I2C_ADDRESS); Wire.write(0); Wire.endTransmission(); Wire.requestFrom(DS1307_I2C_ADDRESS, 7); // A few of these need masks because certain bits are control bits *second = bcdtodec(wire.read() & 0x7f); *minute = bcdtodec(wire.read()); *hour = bcdtodec(wire.read() & 0x3f); // Need to change this if 12 hour am/pm *dayofweek = bcdtodec(wire.read()); *dayofmonth = bcdtodec(wire.read()); *month = bcdtodec(wire.read()); *year = bcdtodec(wire.read());

44 DS1307 RTC 를이용한시계 (12) DS1307 시계출력 (4) void setup() { byte second, minute, hour, dayofweek, dayofmonth, month, year; Wire.begin(); Serial.begin(9600); // Change these values to what you want to set your clock to. // You probably only want to set your clock once and then remove // the setdateds1307 call. second = 0; minute = 54; hour = 14; dayofweek = 4; dayofmonth = 9; month = 5; year = 10; setdateds1307(second, minute, hour, dayofweek, dayofmonth, month, year); 44

45 DS1307 RTC 를이용한시계 (13) DS1307 시계출력 (5) 45 void loop() { byte second, minute, hour, dayofweek, dayofmonth, month, year; getdateds1307(&second, &minute, &hour, &dayofweek, &dayofmonth, &month, &year); Serial.print(hour, DEC);// convert the byte variable to a decimal number when being displayed Serial.print(":"); if (minute<10) { Serial.print("0"); Serial.print(minute, DEC); Serial.print(":"); if (second<10) { Serial.print("0"); Serial.print(second, DEC); Serial.print(" "); Serial.print(dayOfMonth, DEC); Serial.print("/"); Serial.print(month, DEC); Serial.print("/"); Serial.print(year, DEC);

46 DS1307 RTC 를이용한시계 (14) DS1307 시계출력 (6) Serial.print(" Day of week:"); switch(dayofweek){ case 1: Serial.println("Sunday"); break; case 2: Serial.println("Monday"); break; case 3: Serial.println("Tuesday"); break; case 4: Serial.println("Wednesday"); break; case 5: Serial.println("Thursday"); break; case 6: Serial.println("Friday"); break; case 7: Serial.println("Saturday"); break; // Serial.println(dayOfWeek, DEC); delay(1000); 46

47 DS1307 RTC 를이용한시계 (15) RTC 라이브러리활용 DS1307 IC 를이용한 RTC 기능을구현한라이브러리 RTClib 압축파일을다운로드하여풀고 RTClib 라는이름으로아두이노라이브러리디렉토리에설치 47

48 DS1307 RTC 를이용한시계 (16) RTC 라이브러리예제실행 LCD 출력확장 48

49 DS1302 RTC 를이용한시계 (1) DS1302 RTC 모듈 DS1302 RTC IC 를사용한모듈 DS1307 RTC 모듈과유사한기능지원 현재시간및날짜지원 Pulse 출력기능은지원하지않음 일반적인 I2C 인터페이스가아닌 3-wire 인터페이스지원 49

50 DS1302 RTC 를이용한시계 (2) DS1302 IC (1) 주전원공급 Trickle charger 전원 50

51 DS1302 RTC 를이용한시계 (3) DS1302 IC (2) 51

52 DS1302 RTC 를이용한시계 (4) DS1302 RTC 모듈제어 직접입출력제어 (1) 디지털입출력기능을이용하여 DS1302 IC 의레지스터의직접입출력제어 시간및날짜에대해설정및읽기수행 참조 유의사항 : During reading, the clock could rollover. That would result in bad clock data. To prevent that, the DS1302 has a buffer to store the clock data. That buffer can be read in a single communication session, called a "burst" mode. Any valid program should use that "burst" mode to read the clock data. The Year data of the DS1302 is only two digits (0-99). The Year '0' is 2000, and not 1970 or It has a Leap-Year compensation from 2000 up to 2099 (for a value of 0-99). 52

53 DS1302 RTC 를이용한시계 (5) DS1302 RTC 모듈제어 직접입출력제어 (2) DS1302 IC 인터페이스구성 : 3-wire interface - 디지털입출력핀사용 : // Set your own pins with these defines! #define DS1302_SCLK_PIN 4 // Arduino pin for the Serial Clock #define DS1302_IO_PIN 3 // Arduino pin for the Data I/O #define DS1302_CE_PIN 2 // Arduino pin for the Chip Enable : 53

54 DS1302 RTC 를이용한시계 (6) DS1302 RTC 모듈제어 직접입출력제어 (3) 실습회로구성 Arduino Mega D2 D3 D4 RTC DS1302 CE(RST) DAT CLK 54

55 DS1302 RTC 를이용한시계 (7) DS1302 RTC 모듈제어 직접입출력제어 (4) 아두이노예제프로그램 Burst mode I/O : void DS1302_clock_burst_read( uint8_t *p) { int i; _DS1302_start(); // Instead of the address, // the CLOCK_BURST_READ command is issued // the I/O-line is released for the data _DS1302_togglewrite( DS1302_CLOCK_BURST_READ, true); for( i=0; i<8; i++) { *p++ = _DS1302_toggleread(); _DS1302_stop(); : 55

56 DS1302 RTC 를이용한시계 (8) DS1302 RTC 모듈제어 직접입출력제어 (5) 아두이노예제프로그램 Burst mode I/O : void DS1302_clock_burst_write( uint8_t *p) { int i; _DS1302_start(); // Instead of the address, // the CLOCK_BURST_WRITE command is issued. // the I/O-line is not released _DS1302_togglewrite( DS1302_CLOCK_BURST_WRITE, false); for( i=0; i<8; i++) { // the I/O-line is not released _DS1302_togglewrite( *p++, false); _DS1302_stop(); : 56

57 DS1302 RTC 를이용한시계 (9) DS1302 RTC 모듈제어 라이브러리활용 (1) DS1302RTC 라이브러리 참조 intended for use with the Arduino Time.h library, support the additional features of the DS1302: 1. Real Time Clock read/write (8 bytes) 2. Battery backed RAM read/write (31 bytes) 3. Power save mode manipulation (start/stop clock) 4. Trickle charger setup 5. Burst mode read/write hour format only (12 hour format is function Time library) 57

58 DS1302 RTC 를이용한시계 (10) DS1302 RTC 모듈제어 라이브러리활용 (2) 실습회로 앞의실습과동일 DS1302 RTC 모듈시간 / 날짜설정 DS1302RTC 라이브러리예제프로그램 setserial 활용 - Stringming5 Library 필요 58

59 DS1302 RTC 를이용한시계 (11) DS1302 RTC 모듈제어 라이브러리활용 (3) 59 DS1302 RTC 모듈출력프로그램 현재시간을시리얼모니터에출력한다. 시리얼모니터에서새로운시간을입력하면 RTC 모듈에새로설정한다. #include <DS1302RTC.h> #include <Time.h> // Set pins: CE(reset), IO(dat),CLK DS1302RTC RTC(2, 3, 4); void setup(void) { Serial.begin(115200); // Activate RTC module digitalwrite(ds1302_gnd_pin, LOW); pinmode(ds1302_gnd_pin, OUTPUT); digitalwrite(ds1302_vcc_pin, HIGH); pinmode(ds1302_vcc_pin, OUTPUT); Serial.println("RTC module activated"); delay(500);

60 if (RTC.haltRTC()) { Serial.println("The DS1302 is stopped. Please set time"); Serial.println("to initialize the time and begin running."); Serial.println(); if (!RTC.writeEN()) { Serial.println("The DS1302 is write protected. This normal."); Serial.println(); delay(5000); //setsyncprovider() causes the Time library to synchronize with the //external RTC by calling RTC.get() every five minutes by default. setsyncprovider(rtc.get); Serial.println("RTC Sync"); if (timestatus() == timeset) Serial.println(" Ok!"); else Serial.println(" FAIL!"); Serial.println(); void loop(void) { static time_t tlast; time_t t; tmelements_t tm; 60

61 //check for input to set the RTC, minimum length is 12, i.e. yy,m,d,h,m,s if (Serial.available() >= 12) { //note that the tmelements_t Year member is an offset from 1970, //but the RTC wants the last two digits of the calendar year. //use the convenience macros from Time.h to do the conversions. int y = Serial.parseInt(); if (y >= 100 && y < 1000) Serial.println("Error: Year must be two digits or four digits!"); else { if (y >= 1000) tm.year = CalendarYrToTm(y); else //(y < 100) tm.year = y2kyeartotm(y); tm.month = Serial.parseInt(); tm.day = Serial.parseInt(); tm.hour = Serial.parseInt(); tm.minute = Serial.parseInt(); tm.second = Serial.parseInt(); t = maketime(tm); if(rtc.set(t) == 0) { // Success settime(t); Serial.println("RTC set to: "); printdatetime(t); Serial.println(); else Serial.println(); //use the time_t value to ensure // correct weekday is set 61 Serial.println("RTC set failed!"); //dump any extraneous input while (Serial.available() > 0) Serial.read();

62 t = now(); if (t!= tlast) { tlast = t; printdatetime(t); Serial.println(); //print date and time to Serial void printdatetime(time_t t) { printdate(t); Serial.println(" "); printtime(t); //print time to Serial void printtime(time_t t) { printi00(hour(t), ':'); printi00(minute(t), ':'); printi00(second(t), ' '); //print date to Serial void printdate(time_t t) { printi00(day(t), 0); Serial.print(monthShortStr(month(t))); Serial.println(year(t), DEC); 62

63 //Print an integer in "00" format (with leading zero), //followed by a delimiter character to Serial. //Input value assumed to be between 0 and 99. void printi00(int val, char delim) { if (val < 10) Serial.print("0"); Serial.print(val, DEC); if (delim > 0) Serial.print(delim); return; 참조 :

64 과제물 #3 과제내용 DS1302, 조도센서그리고 I2C Text LCD 장치를이용하여다음의동작을수행하는프로그램을작성하여라. A. 조도크기를 Text LCD 장치에출력한다 B. 현재시간과날짜를 Text LCD 장치에출력한다 제출물 회로도, 프로그램소스, 실행예 ( 사진 ) 제출일 차주수업시간 64

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #10: 시리얼통신 (Serial Comm.) 2015. 5. 26 by 김영주 강의목차 시러얼통신개요 I2C 통신개요 I2C 통신실험 2 3 1. Serial Communication 아두이노통신 아두이노통신개요 아두이노 MCU 와 on-board 장치또는외부연결장치간의통신 통신프로토콜에따른데이터송수신을위해개별적인통신장치

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

Motor

Motor Interactive Workshop for Artists & Designers Earl Park Motor Servo Motor Control #include Servo myservo; // create servo object to control a servo int potpin = 0; // analog pin used to connect

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #5: Text LCD 출력하기 2012. 4. 6 by 김영주 강의목차 Text LCD 장치개요 간단한 Text LCD 출력테스트 Text LCD 인터페이스신호줄이기 아두이노라이브러리개요 LiquidCrystal 라이브러리 2 Text LCD 출력장치 (1) Text LCD 출력장치 ASCII 코드를입력받아영문자를출력하는장치주로

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

슬라이드 1

슬라이드 1 임베디드시스템 Lecture #13 : 시리얼통신 강의목차 1. 데이터통신개요 2. UART 통신 3. SPI 통신 4. 가속도센서 5. I2C 통신 6. 기압센서 2 1. 데이터통신 (1) 데이터통신개요 3 MCU 와외부장치간에디지털데이터를전송 병렬통신 (Parallel Comm.) / 직렬통신 (Serial Comm.) 한번에전송하는데이터비트수에따라구분

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

ARDUINO Open Physical Computing Platform 오탈자, 문의및보완이필요한내용은 으로알려주세요.

ARDUINO Open Physical Computing Platform 오탈자, 문의및보완이필요한내용은 으로알려주세요. ARDUINO Open Physical Computing Platform 오탈자, 문의및보완이필요한내용은 으로알려주세요. Chapter 20. I2C 와 SPI 통신을이용한아두이노연결 SPI(Serial Peripheral Interface) 는 I2C(Inter-Integrated Circuit) 와더불어마이크로컨트롤러와주변장치사이에디지털정보를간편하게전송할수있는방법을제공하기위해만들어진통신프로토콜이다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Text-LCD Device Control - Device driver Jo, Heeseung M3 모듈에장착되어있는 Tedxt LCD 장치를제어하는 App 을개발 TextLCD 는영문자와숫자일본어, 특수문자를표현하는데사용되는디바이스 HBE-SM5-S4210 의 TextLCD 는 16 문자 *2 라인을 Display 할수있으며, 이 TextLCD 를제어하기위하여

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

2주차: 입출력 제어 복습

2주차: 입출력 제어 복습 마이크로프로세서 응용및실습 ` 13-14 주차 : 직렬통신 (2) 한철수 전자공학과 2/35 직렬통신과병렬통신 직렬통신 한가닥의선으로송수신할데이터를차례대로전송하는방식 장점 : 통신선로가적기때문에경제적임 단점 : 전송속도가느림. 송수신약속이복잡해짐 병렬통신 여러가닥의선으로동시에여러개의데이터를전송하는방식 장점 : 전송속도가빠름 단점 : 직렬통신보다비쌈 3/35

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

슬라이드 1

슬라이드 1 / 유닉스시스템개요 / 파일 / 프로세스 01 File Descriptor file file descriptor file type unix 에서의파일은단지바이트들의나열임 operating system 은파일에어떤포맷도부과하지않음 파일의내용은바이트단위로주소를줄수있음 file descriptor 는 0 이나양수임 file 은 open 이나 creat 로 file

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

IoT FND8 7-SEGMENT api

IoT FND8 7-SEGMENT api IoT FND8 7-SEGMENT api http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-THL100은 UART 인터페이스를통하여온도, 습도, 조도데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에쉽게적용할수있도록소형으로제작되었습니다. PC에서 OSTSen-THL100의온도,

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

중간고사

중간고사 중간고사 예제 1 사용자로부터받은두개의숫자 x, y 중에서큰수를찾는알고리즘을의사코드로작성하시오. Step 1: Input x, y Step 2: if (x > y) then MAX

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

Index Process Specification Data Dictionary

Index Process Specification Data Dictionary Index Process Specification Data Dictionary File Card Tag T-Money Control I n p u t/o u t p u t Card Tag save D e s c r i p t i o n 리더기위치, In/Out/No_Out. File Name customer file write/ company file write

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED Controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

Microsoft PowerPoint - Chapter 8_USART Serial Communication

Microsoft PowerPoint - Chapter 8_USART Serial Communication MEC382 마이크로프로세서응용및실습 USART Serial Communication Jee-Hwan Ryu School of Mechanical Engineering 통신방법 병렬통신 고속데이터전송이필요한곳에서이루어짐 여러개의라인에서동시에이루어짐 직렬통신 한라인에서이루어짐 데이터의송수신속도가느리다 라인수적고멀리까지통신 동기식, 비동기식있음 동기식 : 기준클럭인동기클럭라인과데이터송

More information

BC6DX Korean.ai

BC6DX Korean.ai 제품설명서 BC6DX * 제품의성능개선을위하여예고없이사양이변경될수있습니다. * 무단복제금지 제품의특징 - 운영프로그램 - 이중입력전원회로 - 방전중개별셀전압평균화 - 최대한의안전장치들 - 사이클충전 / 방전 (Cyclic charging/discharging) - USB 를이용한 PC 통신 - 2 - 외부장치들 -, 버튼 - DEC, INC 버튼 - START/

More information

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예

2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK 시스템구성 시스템설정 사용예 사용예 사용예 Mitsubishi FX Series Computer Link 2 Mitsubishi FX Series Computer Link MITSUBISHI FX SERIES COMPUTER LINK... 1 1. 시스템구성... 3 2. 시스템설정... 4 3. 사용예... 6 3.1. 사용예 1... 6 3.2. 사용예 2... 9 4. 케이블연결도... 13 4.1.

More information

2 min 응용 말하기 01 I set my alarm for 7. 02 It goes off. 03 It doesn t go off. 04 I sleep in. 05 I make my bed. 06 I brush my teeth. 07 I take a shower.

2 min 응용 말하기 01 I set my alarm for 7. 02 It goes off. 03 It doesn t go off. 04 I sleep in. 05 I make my bed. 06 I brush my teeth. 07 I take a shower. 스피킹 매트릭스 특별 체험판 정답 및 스크립트 30초 영어 말하기 INPUT DAY 01 p.10~12 3 min 집중 훈련 01 I * wake up * at 7. 02 I * eat * an apple. 03 I * go * to school. 04 I * put on * my shoes. 05 I * wash * my hands. 06 I * leave

More information

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다. Eclipse 개발환경에서 WindowBuilder 를이용한 Java 프로그램개발 이예는 Java 프로그램의기초를이해하고있는사람을대상으로 Embedded Microcomputer 를이용한제어시스템을 PC 에서 Serial 통신으로제어 (Graphical User Interface (GUI) 환경에서 ) 하는프로그램개발예를설명한다. WindowBuilder:

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

-. Data Field 의, 개수, data 등으로구성되며, 각 에따라구성이달라집니다. -. Data 모든 의 data는 2byte로구성됩니다. Data Type는 Integer, Float형에따라다르게처리됩니다. ( 부호가없는 data 0~65535 까지부호가있는

-. Data Field 의, 개수, data 등으로구성되며, 각 에따라구성이달라집니다. -. Data 모든 의 data는 2byte로구성됩니다. Data Type는 Integer, Float형에따라다르게처리됩니다. ( 부호가없는 data 0~65535 까지부호가있는 Dong Yang E&P 인버터 Modbus Monitoring Protocol 2018. 08. 27 Sun Spec (Modbus-RTU) -. Modbus Protocol 각 Field에대한설명 Frame갂의구별을위한최소한의시갂 BaudRate 9600에서 1bit 젂송시갂은 Start 0.104msec, (3.5 character Times, 1 Character

More information

BC6DX-II Korean.ai

BC6DX-II Korean.ai 제품설명서 * 제품의성능개선을위하여예고없이사양이변경될수있습니다. * 무단복제금지 제품의특징 - 운영프로그램 - 이중입력전원회로 ( 주의!, 두개의입력전원을동시에사용하지마십시요.) - 방전중개별셀전압평균화 - 최대한의안전장치들 - 사이클충전 / 방전 (Cyclic charging/discharging) - USB 를이용한 PC 통신 - 2 - 기기외부장치들 -,

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

K&R2 Reference Manual 번역본

K&R2 Reference Manual 번역본 typewriter structunion struct union if-else if if else if if else if if if if else else ; auto register static extern typedef void char short int long float double signed unsigned const volatile { } struct

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-SEGMENT DEVICE CONTROL - DEVICE DRIVER Jo, Heeseung 디바이스드라이버구현 : 7-SEGMENT HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 디바이스드라이버구현 : 7-SEGMENT 6-Digit 7-Segment LED

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

Week5

Week5 Week 05 Iterators, More Methods and Classes Hash, Regex, File I/O Joonhwan Lee human-computer interaction + design lab. Iterators Writing Methods Classes & Objects Hash File I/O Quiz 4 1. Iterators Array

More information

4 5 4. Hi-MO 애프터케어 시스템 편 5. 오비맥주 카스 카스 후레쉬 테이블 맥주는 천연식품이다 편 처음 스타일 그대로, 부탁 케어~ Hi-MO 애프터케어 시스템 지속적인 모발 관리로 끝까지 스타일이 유지되도록 독보적이다! 근데 그거 아세요? 맥주도 인공첨가물이

4 5 4. Hi-MO 애프터케어 시스템 편 5. 오비맥주 카스 카스 후레쉬 테이블 맥주는 천연식품이다 편 처음 스타일 그대로, 부탁 케어~ Hi-MO 애프터케어 시스템 지속적인 모발 관리로 끝까지 스타일이 유지되도록 독보적이다! 근데 그거 아세요? 맥주도 인공첨가물이 1 2 On-air 3 1. 이베이코리아 G마켓 용평리조트 슈퍼브랜드딜 편 2. 아모레퍼시픽 헤라 루즈 홀릭 리퀴드 편 인쇄 광고 올해도 겨울이 왔어요. 당신에게 꼭 해주고 싶은 말이 있어요. G마켓에선 용평리조트 스페셜 패키지가 2만 6900원! 역시 G마켓이죠? G마켓과 함께하는 용평리조트 스페셜 패키지. G마켓의 슈퍼브랜드딜은 계속된다. 모바일 쇼핑 히어로

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

Coriolis.hwp

Coriolis.hwp MCM Series 주요특징 MaxiFlo TM (맥시플로) 코리올리스 (Coriolis) 질량유량계 MCM 시리즈는 최고의 정밀도를 자랑하며 슬러리를 포함한 액체, 혼합 액체등의 질량 유량, 밀도, 온도, 보정된 부피 유량을 측정할 수 있는 질량 유량계 이다. 단일 액체 또는 2가지 혼합액체를 측정할 수 있으며, 강한 노이즈 에도 견디는 면역성, 높은 정밀도,

More information

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1 SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 100) 1 Contents 1 INTRODUCTION 2 PROTOCOL FRAME OUTLINE 3 FUNCTION FIELD 4 DATA FIELD 5 CRC CHECK 6 FUNCTION EXAM 7 EXCEPTION RESPONSE 8 I/O STATUS

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

<32B1B3BDC32E687770>

<32B1B3BDC32E687770> 008년도 상반기 제회 한 국 어 능 력 시 험 The th Test of Proficiency in Korean 일반 한국어(S-TOPIK 중급(Intermediate A 교시 이해 ( 듣기, 읽기 수험번호(Registration No. 이 름 (Name 한국어(Korean 영 어(English 유 의 사 항 Information. 시험 시작 지시가 있을

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Something that can be seen, touched or otherwise sensed

Something that can be seen, touched or otherwise sensed Something that can be seen, touched or otherwise sensed Things about an object Weight Height Material Things an object does Pen writes Book stores words Water have Fresh water Rivers Oceans have

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 3 if, if else, if else if, switch case for, while, do while break, continue : System.in, args, JOptionPane for (,, ) @ vs. logic data method variable Data Data Flow (Type), ( ) @ Member field

More information

KEY 디바이스 드라이버

KEY 디바이스 드라이버 KEY 디바이스드라이버 임베디드시스템소프트웨어 I (http://et.smu.ac.kr et.smu.ac.kr) 차례 GPIO 및 Control Registers KEY 하드웨어구성 KEY Driver 프로그램 key-driver.c 시험응용프로그램 key-app.c KEY 디바이스드라이버 11-2 GPIO(General-Purpose Purpose I/O)

More information

슬라이드 1

슬라이드 1 Chap7. LED, LCD 와 7- 세그먼트 켜기및 IIC 통신 LED 를켜기위한순서 1. 프로그램코딩및빌드 ( 헥사파일만들기 ) 2. 프로그램메모리라이팅 M-IDE 빌드해서핵사파일만들기 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5.

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

H3050(aap)

H3050(aap) USB Windows 7/ Vista 2 Windows XP English 1 2 3 4 Installation A. Headset B. Transmitter C. USB charging cable D. 3.5mm to USB audio cable - Before using the headset needs to be fully charged. -Connect

More information

Microsoft PowerPoint - 04-UDP Programming.ppt

Microsoft PowerPoint - 04-UDP Programming.ppt Chapter 4. UDP Dongwon Jeong djeong@kunsan.ac.kr http://ist.kunsan.ac.kr/ Dept. of Informatics & Statistics 목차 UDP 1 1 UDP 개념 자바 UDP 프로그램작성 클라이언트와서버모두 DatagramSocket 클래스로생성 상호간통신은 DatagramPacket 클래스를이용하여

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

Microsoft PowerPoint - RPino-GOGO_datasheet.pptx

Microsoft PowerPoint - RPino-GOGO_datasheet.pptx RPino GOGO 사용자설명서 v1.0 Copyright c NulSom Inc. All Rights Reserved. 제품특징및사양 라즈베리파이 (RaspiberryPi) 에장착하여 PC 없이사용하는확장보드 Atmel사의 ATmega328P / 아두이노 (Arduino) 부트로더내장 라즈베리파이를통한아두이노스케치업로드가능 ( 스케치프로그램사용가능 ) 전용스케치로더

More information

[ 융합과학 ] 과학고 R&E 결과보고서 뇌파를이용한곤충제어 연구기간 : ~ 연구책임자 : 최홍수 ( 대구경북과학기술원 ) 지도교사 : 박경희 ( 부산일과학고 ) 참여학생 : 김남호 ( 부산일과학고 ) 안진웅 ( 부산일과학고 )

[ 융합과학 ] 과학고 R&E 결과보고서 뇌파를이용한곤충제어 연구기간 : ~ 연구책임자 : 최홍수 ( 대구경북과학기술원 ) 지도교사 : 박경희 ( 부산일과학고 ) 참여학생 : 김남호 ( 부산일과학고 ) 안진웅 ( 부산일과학고 ) [ 융합과학 ] 과학고 R&E 결과보고서 뇌파를이용한곤충제어 연구기간 : 2013. 3. 1 ~ 2014. 2. 28 연구책임자 : 최홍수 ( 대구경북과학기술원 ) 지도교사 : 박경희 ( 부산일과학고 ) 참여학생 : 김남호 ( 부산일과학고 ) 안진웅 ( 부산일과학고 ) 장은영 ( 부산일과학고 ) 정우현 ( 부산일과학고 ) 조아현 ( 부산일과학고 ) 1 -

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

M16_32KIT_Manual.hwp

M16_32KIT_Manual.hwp M16/32KIT Mega 16/32 KIT Technical Manual AVRMALL http://www.avrmall.com/ September 20, 2004 Copyright (c) 2003,2004 AVRMALL All Rights Reserved. M16/32KIT Technical Manual September 20, 2004 Page 2 of

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Web server porting 2 Jo, Heeseung Web 을이용한 LED 제어 Web 을이용한 LED 제어프로그램 web 에서데이터를전송받아타겟보드의 LED 를조작하는프로그램을작성하기위해다음과같은소스파일을생성 2 Web 을이용한 LED 제어 LED 제어프로그램작성 8bitled.html 파일을작성 root@ubuntu:/working/web# vi

More information

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-PIR100은 UART 인터페이스를통하여인체모션감지 (PIR) 데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. ( PIR: Pyroelectric

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

<3130C0E5>

<3130C0E5> Redundancy Adding extra bits for detecting or correcting errors at the destination Types of Errors Single-Bit Error Only one bit of a given data unit is changed Burst Error Two or more bits in the data

More information

歯메뉴얼v2.04.doc

歯메뉴얼v2.04.doc 1 SV - ih.. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 - - - 23 24 R S T G U V W P1 P2 N R S T G U V W P1 P2 N R S T G U V W P1 P2 N 25 26 DC REACTOR(OPTION) DB UNIT(OPTION) 3 φ 220/440 V 50/60

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

Microsoft Word - USB복사기.doc

Microsoft Word - USB복사기.doc Version: SD/USB 80130 Content Index 1. Introduction 1.1 제품개요------------------------------------------------------------P.02 1.2 모델별 제품사양-------------------------------------------------------P.04 2. Function

More information