Microsoft PowerPoint - SY-A3PSK-V1.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - SY-A3PSK-V1.pptx"

Transcription

1 SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User nonvolatile Flash Rom Secure ISP Secure ISP High performance

2 ACTEL FPGA? 몇년전까지만해도 Actel사의 FPGA는 OTP타입의제품을공급하는업체로알려져있었습니다. One Chip Solution, 안정성, 보안성, 낮은소비전력등이특징인 Antifuse 방식의 FPGA는나름대로매력이있었으나, 개발시간, 개발환경에대해불리한점이많이있었던것이사실입니다. 이에 Actel 사는 Flash 기반의 FPGA 를내놓게되었으며기존의 One Chip Solution, 안정성, 보안성, 낮은소비전력등의장점을그대로이어오고있습니다. 또한, ARM7, Cortex-M1 등을무료로사용할수있게되었으며 Fusion 과같은 Device 에서 는 ADC, Flash Memory, RC Oscillator, Embedded Memory 등 SoC 를구현할수있는환 경을충분히제공하고있습니다. 디자인툴인 Libero IDE 는 Synplify, ModelSim 등을포함하고있으며, 사용자등록만하면 누구든지다운로드해서사용할수있습니다. SY-A3PSK 과 Libero IDE(Gold, 무료 ) 를이용하여 Actel 사의 FPGA 를직접 Design, Program 을해볼수있으며 VHDL 및 Verilog 등 FPGA 설계에필요한모든과정을연습해볼수있 습니다. 별도의프로그래머는필요없습니다. 참고로, SY-A3PSK 는 FPGA 및 VHDL, Verilog 에대한공부를시작하는초보자들에게추 천합니다.

3 < 목차 > 1. PCB SIZE (145.9mm X 85.4mm) 2. 구성도 3. 각부설명 3.1 전원부 3.2 CPU 부 Ext-JTAG 3.4 FPGA 3.5 FPGA User I/O 3.5.1User LED Push SW User Oscillator 354Expansion I/O 4. Actel FPGA 설계툴 4.1 Libero IDE 다운로드및설치방법 4.2 Direct-C Programmer (FLASHPRO-SY) 5. 회로도

4 1. PCB SIZE : (14.5mm X 8.4mm)

5 2. 구성도 (Block Diagram) 5V DC 3.3V 1.5V Regulator User LED(4) RS-232 CPU (FPGA 프로그램전용 ) FPGA A3P125 Tact SW(2) User Oscillator A B EXT-JTAG Expansion I/O FPGA 를프로그램하기위한부분 (A<, 프로그램전용 ). 이부분은 FPGA 를프로그램 (configuration) 하기위한기능으로서그이외의기능으로사용할수없습니다. (CPU,SDRAM,UART PORT, Status LED(Active,pass,fail) 포함 ) 소프트웨어운용시보드에부착된 FPGA 를프로그램하거나외부커넥터를이용하여별도의보드에장착된 Flash based Actel FPGA 를프로그램할수있도록선택할수있습니다. 이를위해서본키트에서는 External ISP 를위한 2X10 핀커넥터를구성해놓았습니다. FPGA 실습을위해구성된부분 (B). - FPGA : A3PN125-ZVQG100 - Tact SW : FPGA의 2번핀 (SW1), 3번핀 (SW2) 과연결. - LED : (D1(79), D2(78), D3(77), D4(76)) - OSC : U2(SMD, 40Mhz) - Expansion Connector : 2x14(2개 ), 2x13(1개 ) External ISP - 외부에장착된 Actel FPGA 프로그램용 Connector

6 3. 각 부 설명 3.1 전원 부 보드에 DC 5V를 입력합니다. 일반적인 아답터를 사용하시면 됩니다. 보드 내에서는 3.3V, 1.5V 레귤레이터를 통해서 FPGA 및 CPU 등의 입력 전원으로 사용됩니다. 전원 입력 상태를 표시하는 LED 가 구성되어 있습니다. 3.2 CPU 부 PC로부터 프로그램 파일을 받아서 FPGA의 Configuration을 하는 역할을 담당합니다. 여기서 주의할 점은 Libero의 Designer의 프로그램 파일의 형식이 *.dat 이어야 합니다. 좀더 자세한 내용은 소프트웨어 매뉴얼을 참조하시기 바랍니다. *.dat 3.3 Ext-JTAG PC Software인 FLASHPRO-SY에서 설정을 통해 외부의 Actel FPGA에 프로그램을 하기위한 Connector 입니다.

7 3.4 FPGA 본키트의메인보드는 Actel 사의 PROASIC3 제품군중 A3PN125-ZVQG100 device 를사용하였습니다. Actel 사의 FPGA 는 Flash 기반의 device 입니다. Flash 제품의특징은저전력, 원칩, 다시프로그램가능한비휘발성 FPGA 입니다. 타사의 FPGA 와는다르게 Configuration time 이필요하지않으며 Configuration ROM 이없어서 PCB 설계시간편하게사용하실수있습니다. 3.5 FPGA User I/O Output Led 사용자가자유롭게사용할수있는 LED 입니다. FPGA(76, 77, 78, 78) 에연결이되어있습니다. LED Name FPGA Pin D1 79 D2 78 D3 77 D tact SW 두개의 Tact S/W를사용하실수있으며, Sw1, Sw2 이있습니다. SW Name FPGA Pin FPGA SW1 2 FPGA SW User Oscillator 40Mhz 의 Osc 를사용하였으며, PLL 을이용하여주파수체배및분배가가능합니다.

8 3.8 Expansion Connector 사용자가자유롭게사용가능한확장커넥터입니다.3.3V, GND 를제외한 70 개의 I/O 를사용하실수있습니다. Expansion I/O U7_PIN FPGA_PIN U8_PIN FPGA_PIN U9_PIN FPGA_PIN 1 3.3v 1 3.3v 1 3.3v U v 2 3.3v 2 3.3v 3 GND 3 GND 3 GND 4 GND 4 GND 4 GND U U

9 4. Actel FPGA 설계툴 Actel 에서제공하는 Libero IDE 이며 Tool 은 HDL Editor 를이용한 Vhdl, Verilog 코딩을통한설계와 ViewDraw 를통한 schematic 설계가가능합니다. 하성툴로는 Synplify 를사용하실수있으며, Modelsim 을이용한시뮬레이션을하실수있습니다. 4.1 Libero IDE 다운로드및설치방법 <S/W Download > 접속 1. Libero IDE Software Download & 설치 ( 현재툴버전 v8.6) 2. Service Pack Download & 설치 ( 현재 SP 버전 SP1) < License 신청 > 접속 1. New User 등록및 Customer 정보입력 2. Requst Free License 선택 3. Libero Gold Node Locked for Windows 선택 4. C: Driver 의 volume ID 입력 (dos 창에서 c: vol enter 후확인가능 ) 5. Operating System check 6. 로 license 전달됨또는얼마후직접 Actel 홈페이지 Downloads/Licensing에서다운로드 < License 설치 > 로받은 license.dat 를 c:\flexlm\ 에 copy 내컴퓨터속성 -> 고급 -> 환경변수 -> 사용자변수 / 시스템변수중선택 변수 : LM_LICENSE_FILE 값 : c:\flexlm\license.dat ( 절대경로 ) 설계툴에대한자세한설명은 café 참조바랍니다. (

10 4.2 Direct-C Programmer (FLASHPRO-SY) 키트의 FPGA 를프로그램하기위해서는키트의 FPGA 를프로그램하기위해서는 FLASHPRO-SY 를필요로합니다. FLASHPRO-SY 이지원하는프로그램파일포멧은 *.Dat 파일로써, Libero IDE 의 Designer 에서설정하실수있습니다. FLASHPRO-SY 의자세한설명매뉴얼을참조하시기바랍니다.

11 5. 회로도

12

13

14

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

±èÇö¿í Ãâ·Â

±èÇö¿í Ãâ·Â Smartphone Technical Trends and Security Technologies The smartphone market is increasing very rapidly due to the customer needs and industry trends with wireless carriers, device manufacturers, OS venders,

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx MAI-ISP-STK500 사용자매뉴얼 Manual Ver. 2.5 엠에이아이 (M.A.I) www.maicom.co.kr http://cafe.naver.com/at128mai [ 모델이름 ]: MAI-ISP-STK5000 [ 용도 ]: AVR MCU의내부 Flash Memory 및 EEPROM 에 HEX 파일을 Write W / Readd 할수있는기능을하는프로그래머로써,

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

Integ

Integ HP Integrity HP Chipset Itanium 2(Processor 9100) HP Integrity HP, Itanium. HP Integrity Blade BL860c HP Integrity Blade BL870c HP Integrity rx2660 HP Integrity rx3600 HP Integrity rx6600 2 HP Integrity

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

1 법적 고지 사항 SK hynix Inc.는 사전 통보 없이 제품, 정보 및 사양을 변경할 권리를 보유합니다. 본 문서의 제품 및 사양은 참조용입니다. 본 문서의 모든 정보는 어떠한 형태의 보증 없이 있는 그대로 제공됩니다. 본 문서와 여기 포함된 모든 정보는 SK

1 법적 고지 사항 SK hynix Inc.는 사전 통보 없이 제품, 정보 및 사양을 변경할 권리를 보유합니다. 본 문서의 제품 및 사양은 참조용입니다. 본 문서의 모든 정보는 어떠한 형태의 보증 없이 있는 그대로 제공됩니다. 본 문서와 여기 포함된 모든 정보는 SK 데이터 마이그레이션 도구 사용자 가이드 Data Migration Tool User Guide SK kynix Inc. 2014 Rev 1.01K 1 법적 고지 사항 SK hynix Inc.는 사전 통보 없이 제품, 정보 및 사양을 변경할 권리를 보유합니다. 본 문서의 제품 및 사양은 참조용입니다. 본 문서의 모든 정보는 어떠한 형태의 보증 없이 있는 그대로

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW ST-STM32F 모듈매뉴얼 (Model: V01) WWW.LKEMBEDDED.CO.KR 2011 LK EMBEDDED version 1.0 페이지 1 1. 제품사진및모듈설명 그림. 모듈사진 1.1 제품소개 ST사의 32-Bit 플래시마이크로컨트롤러는임베디드어플리케이션을위해특별히디자인된최신의 ARM Cortex-M3 코어기반을하고있습니다. 32-Bit 플래시마이크로컨트롤러를이용하여학습및제품개발을핛수있는모듈입니다.

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

Microsoft PowerPoint - 팜플렛(MFM300).ppt

Microsoft PowerPoint - 팜플렛(MFM300).ppt FM Stereo Transmitter Model:MFM300 Car Navigation DMB Phone PMP / MP4 Player Wireless Speaker The MFM300 is a FM stereo transmitter Module for very small Mobile system such as Car Navigation and DMB Phone.

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

ESP1ºÎ-04

ESP1ºÎ-04 Chapter 04 4.1..,..,.,.,.,. RTOS(Real-Time Operating System)., RTOS.. VxWorks(www.windriver.com), psos(www.windriver.com), VRTX(www.mento. com), QNX(www.qnx.com), OSE(www.ose.com), Nucleus(www.atinudclus.

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

cam_IG.book

cam_IG.book 설치 안내서 AXIS P3301 고정형 돔 네트워크 카메라 AXIS P3301-V 고정형 돔 네트워크 카메라 한국어 AXIS P3304 고정형 돔 네트워크 카메라 AXIS P3304-V 고정형 돔 네트워크 카메라 문서 정보 본 문서에는 사용자 네트워크에 AXIS P3301/P3304 고정형 돔 네트워크 카메라를 설치하는 방법에 대 한 지침이 포함되어 있습니다.

More information

SW 2015. 02 5-1 89

SW 2015. 02 5-1 89 SW 2015. 02 88 SW 2015. 02 5-1 89 SW 2015. 02 5-2 5-3 90 SW 2015. 02 5-4 91 SW 2015. 02 5-5 5-6 92 5-7 SW 2015. 02 93 SW 2015. 02 5-8 5-1 94 SW 2015. 02 5-9 95 SW 2015. 02 5-10 5-2 96 SW 2015. 02 5-11

More information

1 SW 2015. 02 26

1 SW 2015. 02 26 02 1 SW 2015. 02 26 2-1 SW 2015. 02 27 SW 2015. 02 2-1 28 SW 2015. 02 29 2 SW 2015. 02 2-2 30 2-2 SW 2015. 02 31 SW 2015. 02 32 2-3 SW 2015. 02 33 3 SW 2015. 02 2-3 34 2-4 SW 2015. 02 35 4 SW 2015. 02

More information

SW테스트베드 장비 리스트

SW테스트베드 장비 리스트 SW테스트베드 장비 리스트(04년) 번호 장비명 수량 용도 제품 사양 Bluetooth를 3.CM OBD SCAN ELM37 0 지원하는 차량 ECU 초 미니 안드로이드폰 용 OBD 스캐너 스캐너 OBD 분배기, OBD 연장케이블 Chip : Broadcm BCM83 Soc 라즈베리파이 MODELB+ ARM 기반 컴퓨팅 보드 Core architecture

More information

1. 제품소개및설명 제품특징 그림. 개발장비사진 - AVR FLASH READ/WRITE 가능 - AVR ISP 프로그래밍방식을지원하는 ATmega8, ATmega16, ATmega32, ATmega64, ATmega128, ATmega 등다수의 AVR MC

1. 제품소개및설명 제품특징 그림. 개발장비사진 - AVR FLASH READ/WRITE 가능 - AVR ISP 프로그래밍방식을지원하는 ATmega8, ATmega16, ATmega32, ATmega64, ATmega128, ATmega 등다수의 AVR MC USB AVR ISP 개발장비매뉴얼 (Model: V02) WWW.LKEMBEDDED.CO.KR 2010 LK EMBEDDED User Guide Version 1.0 페이지 1 1. 제품소개및설명 제품특징 그림. 개발장비사진 - AVR FLASH READ/WRITE 가능 - AVR ISP 프로그래밍방식을지원하는 ATmega8, ATmega16, ATmega32,

More information

OfficeServ 솔루션 OfficeServ 솔루션 OfficeServ는 삼성전자의 기업형 IP 솔루션으로서 음성과 데이터, 유선과 무선이 융합된 미래 오피스형 솔루션입니다. OfficeServ 7400/7200 삼성전자가 다년간 쌓아 온 기간망 사업 경험 및 모바일

OfficeServ 솔루션 OfficeServ 솔루션 OfficeServ는 삼성전자의 기업형 IP 솔루션으로서 음성과 데이터, 유선과 무선이 융합된 미래 오피스형 솔루션입니다. OfficeServ 7400/7200 삼성전자가 다년간 쌓아 온 기간망 사업 경험 및 모바일 Unlimited Connectivity, Enterprise Convergence Unlimited Connectivity, Enterprise Convergence SMB IP 중소용량 IP-PBX SYSTEM Solution 네트워크사업부 Enterprise 영업팀 경기도 수원시 영통구 삼성로 129 E-mail : jh1.park@samsung.com

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

05 김성진-1.hwp

05 김성진-1.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(9), 798 805. http://dx.doi.org/10.5515/kjkiees.2015.26.9.798 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) EMI

More information

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 목차 1. 선정배경및목적 2. 관련기술및기술동향 3. 프로젝트세부사항 A. 시스템구성도 B. 시나리오 C. 기대효과 D. 개발환경 4. 스케줄 5. 팀구성및역할 6. 참고문헌 1. 선정배경및목적

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

4S 1차년도 평가 발표자료

4S 1차년도 평가 발표자료 모바일 S/W 프로그래밍 안드로이드개발환경설치 2012.09.05. 오병우 모바일공학과 JDK (Java Development Kit) SE (Standard Edition) 설치순서 Eclipse ADT (Android Development Tool) Plug-in Android SDK (Software Development Kit) SDK Components

More information

<4D6963726F736F667420576F7264202D2045564552554E20B4DCB8BB20C1A1B0CB20B9D720C1B6C4A120B8C5B4BABEF35F76312E335F2E646F63>

<4D6963726F736F667420576F7264202D2045564552554E20B4DCB8BB20C1A1B0CB20B9D720C1B6C4A120B8C5B4BABEF35F76312E335F2E646F63> EVERUN 단말 점검 및 조치 매뉴얼(v1.3) 2008-09-04 1. 기본 점검사항 1.1 KT WIBRO CM 프로그램 정보 1.2 장치관리자 진입경로 1.2.1 시작/제어판에서 실행 1.2.2 바탕화면에서 실행 1.3 장치 관리자에서 드라이버 확인 1.3.1 WIBRO 드라이버 확인 1.3.2 Protocol 드라이버 확인 1.4 Windows 스마트

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

BS-K1117□-M□□-3012_ProductGuide_KR_PDF

BS-K1117□-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN AnyWireASLINK System [ASLINK ] S-K1117-M-312..,.,. AnyWireASLINK :, M12 S-K1117-M8-312 S-K1117-M12-312 S-K1117-M18-312 S-K1117-M3-312 S-K1117S-M12-312 S-K1117S-M18-312 S-K1117S-M3-312

More information

기초에서 활용까지 윈도우즈 임베디드 CE 프로그래밍

기초에서 활용까지 윈도우즈 임베디드 CE 프로그래밍 . Microsoft,, MVP Regional Director Shu-Fen Cally. Microsoft MVP. IT,. Microsoft.NET INETA, 70 50%,. Windows Mobile..,. Windows CE 10,.., Mobile Device,. 18 Windows Embedded CE Progra mming Microsoft Mobile

More information

Microsoft Word - jEMB11_kor.docx

Microsoft Word - jEMB11_kor.docx TO : Jinyoung Contech Co., Ltd. #501, 222-12 MARIOTOWER, GURO3-DONG, GURO-KU, SEOUL, KOREA TEL : 82-2-890-6400 FAX : 82-2-890-6406 HOME PAGE : http://www.jyct.com/ Issued Date : 2011-08-23 Page : 17 Pages

More information

USER Manual

USER Manual KOR V1.3 AltPLC 6637 Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel i7-3610qe 2.3GHz - Intel i5-3610me 2.7GHz Memory - 1 x DDR3 1066/1333MHz SODIMM,

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

Flute-GR_BV199_DOS.indb

Flute-GR_BV199_DOS.indb 안전을 위한 주의사항 사용자의 안전을 지키고 재산상의 손해 등을 막기 위한 내용입니다. 반드시 읽고 올바르게 사용해 주세요. BV-199 사용설명서 차례 1 장. 컴퓨터 시작 차례 3 제품의 특장점 6 사용설명서를 읽기 전에 7 안전을 위한 주의사항 10 사용시 올바른 자세 20 제품의 구성물 23 기본 구성물 23 각 부분의 명칭 24 앞면 24 뒷면 25

More information

사용설명서 NTC-100 사용 전에는 반드시 사용설명서를 꼭 읽어보시기 바랍니다 넷뷰 사용설명서 C O N T E N T S 카메라 설치 및 네트워크 설정 4 1. 넷뷰 카메라 설치 방법 4 1-1 제품구성 4 1-2 설치방법 5 2. 넷뷰 PC 전용 프로그램 설치 7 2-1 소프트웨어 설치 7 2-2 카메라 접속 방법 8 3. IP 네트워크 설정 11 3-1

More information

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 개발환경구조및설치순서 JDK 설치 Eclipse 설치 안드로이드 SDK 설치 ADT(Androd Development Tools) 설치 AVD(Android Virtual Device) 생성 Hello Android! 2 Eclipse (IDE) JDK Android SDK with

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

Copyright 2009 Hewlett-Packard Development Company, L.P. Intel 은 미국 및 다른 국가에서 Intel Corporation 의 상표입니다. Microsoft 및 Windows 는 Microsoft Corporation 의

Copyright 2009 Hewlett-Packard Development Company, L.P. Intel 은 미국 및 다른 국가에서 Intel Corporation 의 상표입니다. Microsoft 및 Windows 는 Microsoft Corporation 의 HP ENVY 15 사용 설명서 Copyright 2009 Hewlett-Packard Development Company, L.P. Intel 은 미국 및 다른 국가에서 Intel Corporation 의 상표입니다. Microsoft 및 Windows 는 Microsoft Corporation 의 미국 등록 상표입니다. Bluetooth 는 해당 소유권

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-IK01 User s Manual AN2131, EZ-USB and Cypress are trademarks of Cypress Semiconductor, Keil and uvision2 are trademarks of Keil software. Windows, Windows2000, Windows NT and Windows XP are trademarks

More information

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu WISOL / SFM11R2D P/N: DATA SHEET Rev.01 WISOL 531-7, Gajang-ro,Osan-si,Gyeonggi-do Rep. of Korea http://www.wisol.co.kr CONTENTS 1. Approval Revision Record... 3 2. Scope... 4 3. Numbering of product...

More information

PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS (

PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS ( PWR PWR HDD HDD USB USB Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC DDNS (http://ddns.hanwha-security.com) Step 1~5. Step, PC, DVR Step 1. Cable Step

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

UART Controller 구현

UART Controller 구현 7-Segment LED Controller 구현 Lecture # 학습목표 다양한실습을통해 VHDL 의응용능력을기른다 기본적인타이밍도의이해및응용능력을배양한다 주로사용되는출력장치인 FND(7 Segment) 의특성을이해한다 강의순서 7-Segment LED(FND) 장치 PXA55-FPGA FND 회로도구성 7-Segment LED Controller 설계

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

SBR-100S User Manual

SBR-100S User Manual ( 1 / 13 ) SBR-100S 모델에 대한 사용자 펌웨어 업그레이드 방법을 안내해 드립니다. SBR-100S 는 신규 펌웨어가 있을시 FOTA(자동업데이트) 기능을 통하여 자동 업그레이드가 되며, 필요시 사용자가 신규 펌웨어를 다운받아 수동으로 업그레이드 할 수 있습니다. 1. 준비하기 1.1 연결 장치 준비 펌웨어 업그레이드를 위해서는 SBR-100S

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

0.1-6

0.1-6 HP-19037 1 EMP400 2 3 POWER EMP400 4 5 6 7 ALARM CN2 8 9 CN3 CN1 10 24V DC CN4 TB1 11 12 Copyright ORIENTAL MOTOR CO., LTD. 2001 2 1 2 3 4 5 1.1...1-2 1.2... 1-2 2.1... 2-2 2.2... 2-4 3.1... 3-2 3.2...

More information

임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 Outline n n n n n n 보드개요보드연결필수패키지, Tool-Chain 설치 Kernel, file system build Fastboot 및 Tera Term설치 Kernel, file system 이미지전송및설치 - 2 - Young-Jin Kim X-Hyper320TKU

More information

“정품S/W를 사용합시다.”

“정품S/W를 사용합시다.” 물 품 구 매 설 명 서 [열차무선 제어판넬 등 52종 구매] 2016. 01. (정보통신사업소) 1. 적용범위 본 설명서는 서울메트로 정보통신 열차무선 유지보수용 예비품인 열차무선 제어판넬 등 52종 구매 건에 적용합니다. Ⅰ. 일 반 사 항 2. 어구의 해석 본 설명서에 명기되지 않거나 불명확하다고 생각되는 사항에 대해서 계약자 상대자는 입찰 전 에 우리공사의

More information

PowerPoint Presentation

PowerPoint Presentation Data Protection Rapid Recovery x86 DR Agent based Backup - Physical Machine - Virtual Machine - Cluster Agentless Backup - VMware ESXi Deploy Agents - Windows - AD, ESXi Restore Machine - Live Recovery

More information

USB USB DV25 DV25 REC SRN-475S REC SRN-475S LAN POWER LAN POWER Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl C

USB USB DV25 DV25 REC SRN-475S REC SRN-475S LAN POWER LAN POWER Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl C USB USB DV25 DV25 REC SRN-475S REC SRN-475S LAN POWER LAN POWER Quick Network Setup Guide xdsl/cable Modem PC DVR 1~3 1.. DVR DVR IP xdsl Cable xdsl Cable PC PC Step 1~5. Step, PC, DVR Step 1. Cable Step

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 29(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 29(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 415423. http://dx.doi.org/10.5515/kjkiees.2018.29.6.415 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information