Microsoft PowerPoint - 제10장.ppt [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - 제10장.ppt [호환 모드]"

Transcription

1 10장카운터

2 비동기 ( 리플 ) 카운터 리플카운터의회로연결및동작 : 모든 JK(T) 입력은 1로연결하여토글모드로동작 클럭펄스는 LSB F/F 에만인가 -클럭펄스의 NGT/PGT 에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의 F/F 사용시최대 2 N -1 까지계수, mod-2 N 카운터 2 비트비동기 2 진카운터 2 개의 J-K 또는 T F/F 을사용하여구성 상태 으로동작되는카운터 1 CLK A J J B CLK A 0 1 T 1 T 2 T 3 T 4 K K FFA FFB B 0 1 2

3 3 비트비동기카운터 000부터 111까지계수 : Mod-8 카운터 증가카운터 1 A B J J J C CLK K K K FFA FFB FFC T 1 T 2 T 3 T 4 T 5 T 6 T 7 T 8 CLK A B C 감소카운터 : 반전출력사용 J A J B J C CLK K K K FFA FFB FFC 3

4 up counter : 0 부터증가하는순서로계수 비동기식감소카운터 down counter : 최대값으로부터감소하는순서의카운터 3 비트 mod-8 다운카운터동작순서 AF/F(LSB) 은클럭펄스마다반전 ( 토글 ) B F/F은 A가 0에서 1로될때토글 C는 B가 0에서 1로될때토글 리플다운카운터의 A 입력클럭이 NGT로동작한다면 -> F/F의출력을인버터로반전하여야다운카운터로동작 F/F 의반전출력을다음단의 CLK 에연결 CBA Recycles

5 Mod-8 비동기증가 / 감소카운터 카운터 control 입력 Count-up A B C 1 J J J CLOCK K K K Count-down 주파수분주한개의 F/F는 CLK 입력에대해입력주파수의 1/2로주파수를분주클럭주파수가 8 khz이면, A 의파형은 4 khz, 출력 B 는 2 khz, 출력 C는 1 khz이다. 입력클럭을 1/8로분주즉, 카운터의마지막출력주파수는입력주파수를 MOD수에의해분주 예 : 1 Hz출력을내는 MOD-60 카운터는몇개의 F/F 이필요? 2 6 = 64, 최소 6 개의 F/F 필요 5

6 MOD 수 2 N 인카운터 2 N 보다작은 MOD 수로동작하는카운터 0에서 2 N 이하의 MOD 수까지연속적인값으로계수하는회로예 : 3 비트리플카운터를 MOD6 카운터로설계 모든 F/F는리플카운터로연결 NAND 게이트의출력을모든 F/F의클리어입력에연결 NAND 입력에 B 및 C F/F의출력연결 CBA = 카운터를클리어시키기위한임시상태 * 110 상태 : NAND 출력이 0가되어 F/F의비동기클리어가바로동작하여모든 F/F 는 0가된다. 이때 110의임시상태는잠시나타났다가바로 000가되어카운터는 Mod-6로동작 6

7 카운터에서의 Glitch 출력 글리치가발생하는출력 : 출력 B 는 의파형순서에서 0-1-0로잠시 1의상태로좁은양의펄스인 spike 또는 glitch 발생 Mod-6 카운터의상태도 unused state : 111 unstable state : 110 MOD 수의변환 리플카운터의모드수는원하는모드값이되었을때 1이되는모든 F/F의출력을 NAND 게이트입력으로연결하여 F/F 를강제로리셋예 : mod-7 카운터 111 상태가될때 000으로리셋하기위해 NAND에 ABC F/F 출력연결 7

8 모드수와주파수 예 : 다음카운터의 mod 수와출력 D에서의주파수는? 그림 (a) NAND 입력인 D,C,B는카운터가 1110에도착하였을때 0000으로리셋 카운터는 0000부터 1101까지의안정된 14개의상태로 mod-14 카운터 입력주파수 = 30 khz D 출력주파수 = 30 khz / 14 = 2.14 khz 예 : 그림 (b) 는 1010 에서리셋 Mod-10 카운터 0000 ~1001 : BCD 카운터 8

9 MOD X 카운터의일반적설계과정 1. 2 N X를만족하는 F/F의최소수 N을결정하고리플카운터로연결만약, 2 N = X라면과정 2 와 3은생략 2. 모든비동기클리어입력에 NAND 게이트의출력연결 3. 카운트값 X에서 1이되는 F/F의출력들을 NAND 입력에연결 < 예 >0 부터 21 까지카운트할수있는 MOD-22 카운터설계 2 5 = 32이므로 5개의 F/F 필요, Mod-22=10110 에리셋하기위하여 E, C, B 출력을 NAND 입력에연결 < 예 > 60Hz의라인주파수를 1Hz로분주하는 MOD-60 카운터설계 2 6 = 64 > 60 : N=6개의 F/F 사용 60(111100) 을카운트할때클리어 <- C, D, E, F 출력을 NAND 에연결 9

10 BCD (MOD-10) 리플카운터 0(0000) 에서 9(1001) 까지 10개의상태 4개의 F/F 1010 에서비동기리셋 글리치발생 : B출력 1 CLK A J J B C J J D K R K R K R K R FFA FFB FFC FFD CLK A B 돌발펄스 C D CLR 10

11 74293/74LS293, 7493 비동기 IC 카운터 출력 : 0 (LSB), 1, 2, 3(MSB) - 두개의클럭입력 : 은 0의입력, 은 1의입력으로 NGT에동작 - 비동기리셋입력 : MR1,MR2는 master reset, 11 일때모든 F/F 를클리어 - 1, 2, 3는 3비트리플카운터로연결 mod-8 카운터 0 mod-2 카운터 mod 2 x mod 8 = mod 16 74LS293 에 10 khz의클럭을입력하여 mod-16 카운터로동작하도록결선 0 의출력을에연결하면 mod-2 x mod-8 = mod-16 카운터 10 khz의펄스는 0의클럭인 0 에인가 11

12 74293의여러가지결선 을 mod-10 카운터로결선 mod-10 은 4 개의 F/F 가필요하므로 0는 1에연결 카운터가 1010(mod 수 =10) 이될때 3과 1의출력을마스터리셋에연결 ( 내부적으로 NAND 게이트가있으므로이를사용 ) 을이용한 mod-14 카운터 1110(14) 에도달하였을때 3, 2, 1 출력을 NAND 로입력 <- 외부에 AND 게이트를하나사용 12

13 mod-60 카운터 을 2 개사용하여구성한 mod-60 카운터 두단계에의해서입력주파수를 1/60으로분주 mod-10 카운터는 1010 일때리셋 3 = fin/10로 mod-6 카운터의 1에입력 mod-6 카운터는 0는미사용, 110일때리셋 3 주파수 : fout = (fin/10)/6/ = fin /60 13

14 리플카운터의전달지연 N번째 F/F 상태변화 : 클럭펄스발생후 N tpd 시간의전달지연누적 카운터의동작속도가느리다. 낮은동작주파수에동작 동작주파수가낮은경우전달지연은카운터동작에크게영향이없다 주파수가높으면전달지연효과는상대적으로크다. <- 오동작 지연시간과최대주파수의관계 Tclock = N tpd, fmax = 1 / (N tpd) N 개의 F/F 을리플연결시 fmax 이하로동작 14

15 동기 ( 병렬 ) 카운터 클럭펄스가모든플립플롭의클럭입력에연결되며, 하나의마스터클럭은모든플립플롭을동시에동작시킴 병렬카운터 순차논리회로의설계에따라설계할수있다. 카운터의동작시전파지연시간이없다. <- 고속카운터동작 2 비트동기식 2 진카운터 순차논리회로방식으로설계 상태도여기표작성 J A = B = K A, J B =1 = K B 15

16 3 비트 synchronous binary 카운터 3 비트동기식 2 진카운터 순차회로설계방법사용 J A = K A = BC, J B = K B = C, J C = K C =1 T 1 T 2 T 3 T 4 T 5 T 6 T 7 T 8 CLK C B A 16

17 동기 4 비트이진카운터 mod-16 이진병렬카운터동기식카운터의동작원리 A 의 JK 는 11 이므로 NGT 가발생할때마다토글 B 는 A=1 인상태에서 NGT 가들어올때마다토글 ( A=1일때 JK=11) C는 A=B=1일때 NGT가들어오면토글 (AB=11 일때 JK=11) F/F D는 A=B=C=1일때 NGT 신호가들어가면토글 (ABC=111일때 JK=11) 이와같이 F/F 수가확장되더라도유사하게연결 -IC 74LS160/162, 74HC160/162 : 동기식십진카운터 74LS161/163, 74HC161/163 : 동기식 16진카운터 17

18 동기식 BCD 카운터 동기식 10 진카운터 0(0000) 에서 9(1001) 까지의 10 개상태 C(carry) 출력 카운터의계수가 9 일때 J A =K A =1, J B =K B =AD', J C =K C =AB, J D =ABC, K D =A' C = AD 18

19 3 비트동기식 2 진증감카운터 x=0 증가 x=1 감소 동기식 2진증감카운터 111 0/ 1/ 000 0/ 001 0/ 0/ 1/ 1/ 110 1/ 010 0/ 1/ 1/ / 1/ 100 0/ 0/ 0/ JA = KA =1 JB = KB = A xor x JC = KC =ABx' +A'B'x A c B A IC : 74LS190, 74HC190 J C C J B B 1 J A A 동기식업 / 다운카운터 K C C K B B K A FFC FFB FFA A x 19

20 Preset 카운터 비동기 ( 클럭에무관 ) 또는동기적으로카운터의시작점을미리설정할수있는카운터 PL = 1 : 정상카운터동작 = 0 : 병렬데이터 ( P 2 P 1 P 0 ) 를비동기적으로카운터에 load , 74191, 74192, 비동기프리세트카운터 IC - 동기식프리세트카운터 : 프리세트시병렬로드입력이클럭에동기 74160, 74161, 74162,

21 74193 동기형카운터 비동기프리셋과리셋을가진 mod-16 up/down 동기형카운터 T CU 와T CD : 출력이최대값 (1111) 또는최소값 (0000) 에도달하였을때발생하는캐리로카운터확장시클럭으로사용 21

22 74193 카운터응용 예 : 74193이업카운터로동작하는회로의병렬입력이 1011이고, U, PL, MR을그림과같이인가. 카운터의초기상태를 0000이라할때카운터의출력파형 22

23 74193 카운터응용 다운카운터로구성된 74193, 병렬입력은 0111로연결, 카운터의초기상태가 0000이라할때출력파형 23

24 74193 카운터응용 을이용한가변 MOD 수 프리셋을이용하여 MOD 수변화 : 프리세트수부터최대 ( 최소 ) 수까지카운트 은 0101 (5) 의병렬로드입력을가진다운카운터 TC D 를 PL 에연결- 카운터가 0000 이면 TC D =0 이되고이때병렬입력 load TC D 는반주기이전에카운터가 0101이되므로다시 1로간다.(glitch 발생 ) 카운터는 (0,5) 로 mod-5 : 프리셋시반주기는 0000, 반주기는 0101 상태 24

25 Multistage 연결 카운터확장 TC D 와TC U 는둘이상의카운터를다단계로연결할때사용 (up) 또는 255 0(down) 의카운터동작 8 비트병렬입력과카운터출력 25

26 타이밍순차회로 타이밍순차회로 (Timing Sequencer) 동작순서 (sequence of operations) 는제어장치에의해정의되고, 동작을주관하는제어장치는타이밍신호들로구성되며, 이신호는동작이수행되는타이밍순차를결정 카운터와디코더를사용한타이밍신호발생기 2비트의카운터는 4개의서로다른상태를출력하며, 디코더는카운터의출력을입력하여 4 개의서로다른타이밍신호상태를출력 동작원리 : 디코더가카운터에서발생되는 4개의상태를구분시켜타이밍신호의순차를발생시키며, 임의의주어진시간의하나의타이밍신호는 4개의클럭펄스주기가된다. + A A T T D I1 D1 1 1 I D2 T 2 2 D 2 비트카운터 2 4 디코더 3 T 3 A 0 A T T T T 3 26

27 카운터디코딩 mod-x 카운터는 X 개의서로다른상태가 있으며어떤특정한상태를인지하는회로를카운터디코딩 디코더출력의논리레벨에따라 active HIGH 또는 active LOW 디코더 mod-8 카운터와디코딩회로 : 8개의 3입력 AND 게이트는한번에하나씩만 high 출력발생 카운터의디코딩 27

28 - 카운터의특정상태를이용한제어파형발생회로 카운터디코딩 - Active low 디코딩 : NAND 게이트를사용하여디코딩출력을 active low로. 28

29 디코딩글리치 - 리플카운터에서 F/F 간의전달지연시간차이에의하여 AND 게이트출력에서글리치발생 - X0, X2 디코딩파형에서의글리치는 A와 B 사이지연이원인 - 글리치가문제가되는경우 : 카운터의출력을다른회로를제어하기위하여사용할때 - 비동기리플카운터 : F/F간의지연시간차이에의해임시적상태발생예 : 카운터가 011에서 100 변화시 011 -> 010 -> 000 -> 100 으로차례로변화하므로 010 과 000 의임시상태존재 - 디코딩글리치문제가심각한경우문제를해결방법 (1) 동기식병렬카운터사용 (2) strobing : 모든 AND 게이트의입력에스토로브신호연결 - 모든 F/F이 NGT에서응답하여안정된상태가될때까지디코딩AND 게이트를동작시키지않도록스트로브신호사용 29

30 Ring counter circulating shift register: 마지막 F/F 출력을첫단 F/F 입력으로 feedback 연결 구성 F/F 중 1 비트만 1의상태로유지 -> power-on시 1개의 F/F만을 1로하고나머지는 0 상태로프리셋하는회로필요 클럭의 PGT 에서 1 비트씩우로이동 (shift right), 마지막비트는피드백 N 비트링카운터는 MOD-N카운터 30

31 Johnson Counter twisted-ring counter : switched-tail ring, 링카운터의마지막단의반전출력을첫단의입력에연결 N 비트로서 MOD-2N 카운터구성 각 F/F 출력파형의 duty cycle 은 50% 3 비트 -D FF 사용 4 비트 - JK FF 사용 J J J J K K FFA FFB FFC FFD K K 존슨카운터의디코딩존슨카운터는링카운터보다 1/2의 F/F를사용하지만카운터의상태를알기위해서는디코딩게이트가필요하다. - 디코딩게이트는항상 2N 개의 2 입력 AND 게이트가필요 31

32 1. 디지털시계 카운터의응용 발진회로 발진 분주 카운터 디코더 표시 회로 회로 회로 회로 회로 디지털시계의블록다이어그램 디지털시계에클록 (clock) 을제공 220[V] 전원의안정된 60Hz의주파수를이용 RC 발진회로를이용하는방법 수정발진자 (crystal oscillator) 를사용하는방법 분주회로 발진회로의정현파를이용하여 1초를나타내는 1Hz 주파수를얻는회로 60Hz 60Hz 6Hz 1Hz 슈미트트리거 Hz 정현파에서 1Hz 구형파를얻는회로 32

33 CLK RES 을이용하여 1Hz 구형파를얻는회로 카운터회로 Hour Minute Second 12진 6진 10진 6진 10진 카운터 카운터 카운터 카운터 카운터 카운터회로의블록도 33

34 7492( 6) 7490( 10) 1Hz D C B A D C B A 분, 초단위의카운터디코더및 드라이브회로 또는 7448 또는 7448 a b c d e f g a b c d e f g R 0 (1) R 0 (2) 7492( 2) R 0 (1) R 0 (2) 7490( 10) 1 펄스 / 시간 D C B A D C B A 시단위의카운터, 디코더및드라이브회로 7447 또는 또는 7448 a b c d e f g a b c d e f g 34

35 디지털시계의전체회로도 +5V +5V +5V +5V +5V +5V SND517 SND517 SND517 SND517 SND517 e f d g a b c a b c d e f g a b c d e f g a b c d e f g a b c d e f g a b c d e f g a b c d e f g A B C D E F G BI / R R B O BI LI A B C D E F G BI / R R B O BI LI A B C D E F G BI / R R B O BI LI A B C D E F G BI / R R B O BI LI A B C D E F G BI / R R B O BI LI A B C D E F G BI / R R B O BI LI A B C D 7492 A B C D A B C D A B C D 7490 A B C D 7492 A B C D A B R 0 R 0 (1) (2) A B R 0 R 0 R 9 R 9 (1)(2) (1) (2) A B R 0 R 0 (1) (2) A B R 0 R 0 R 9 R 9 (1)(2) (1) (2) A B R 0 R 0 (1) (2) A B R 0 R 0 R 9 R 9 (1)(2) (1) (2) S 1 S 2 hour minite 74HC04 : GND(7), Vcc(14) 74LS10 : GND(7), Vcc(14) 74LS47 : GND(8), Vcc(16) 74LS90 : GND(10), Vcc(5) 74LS92 : GND(10), Vcc(5) 4020 : GND(8), Vcc(16) 1Hz 2Hz K HC μ K 35

36 2. 주파수카운터 임의의주기적파형의주파수 (frequency) 를측정하는디지털기기 측정주파수는 Frequency = Counter t Output t=1초이면표시된수치가곧주파수가된다. t=10 초이면소수점을한자리높인다. t=0.1초이면소수점을한자리낮춘다. 미지입력 증폭기 카운터 클록발진기 분주기 t Gate Enable 주파수카운터의블록도 36

37 100KHz OSC Hz 1Hz 0.1Hz Gate 신호 +V CC J K V CC R C A 1 A 2 B t Gate Enable 미지입력 Count Gate 파형정형 RESET strobe CLK Reset 용 4bit 4bit 4bit 4bit 래치 래치 래치 래치 시작끝시작 Gate Enable strobe 끝 주파수카운터의회로도 FND 507 미지입력 CLK RESET 37

10 장카운터

10 장카운터 0 장카운터 u 리플카운터의회로연결및동작 : 비동기 ( 리플 ) 카운터 모든 JK(T) 입력은 로연결하여토글모드로동작 클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

chap7_ohp.hwp

chap7_ohp.hwp 제 7 장카운터와레지스터 (Counters and Registers) 7-1 비동기( 리플) 카운터리플카운터의회로연결및동작 : 모든 JK 입력은 1로연결하여토글모드로동작클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화모든 clear 입력은동시에연결각

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다 디지털논리회로이론, 실습, 시뮬레이션 : 카운터 기출문제풀이 ehanbitet - 1 - 1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다카운터

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Microsoft PowerPoint - ch11_reg.pptx

Microsoft PowerPoint - ch11_reg.pptx 11 장레지스터 레지스터 (egister) 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부

More information

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로 11 장레지스터 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부 2

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로 9 장동기순차회로 동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로분류. v v v 동기순차회로 : 클록펄스에의해서동작하는회로 비동기순차회로

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

v6.hwp

v6.hwp 93 6 장순차회로모델링 이장에서는앞에서배운여러가지모델링방법에대한지식을바탕으로많이사용되는기본적인순차회로블록들의모델링과순차회로설계방법에대해서배운다. 6. 레지스터 레지스터는 n-bit 데이터를저장하는기억소자이다. 데이터의저장은클럭에동기가되어이루어진다. 그림 6.은전형적인레지스터의블록도와동작표이다. register D D D2 D3 Load Reset Q Q Q2

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 마이크로컨트롤러기초 (#514112 ) #.7 Basic Timer1 기초 핚림대학교젂자공학과이선우 Contents Digital Counter Basics MSP430x4xx Timers Overview Basic Timer 1 Example program Digital Counter & Timer Counter Basics Digital counter (

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators 발진기 (Oscillator) 발진기 : 전원이인가된상태에서외부의입력신호없이회로자체의동작에의해특정주파수의신호 ( 정현파, 구형파, 삼각파, 톱니파 ) 를생성하는회로 종류 : 귀환 발진기 (Feedback oscillator), 이완 발진기 (elaxation oscillator) 귀환발진기 귀환발진기 : 출력신호의일부분이위상변이없이입력으로인가되어출력을강화

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

실사구시학파의 실증적 학풍이 일어나므로 서구적인 과학사상의 유입을 본 것 등이 인식 의 대상이 될 것이다. 그러나 이조 봉건사회 최종의 절대적 왕권주의자 대원군에 의하여 그 싹은 잘리고 말았다. 따라서 다단한 전기가 될 근대적 개방에 의하여 재건하려던 서구적 교육 즉

실사구시학파의 실증적 학풍이 일어나므로 서구적인 과학사상의 유입을 본 것 등이 인식 의 대상이 될 것이다. 그러나 이조 봉건사회 최종의 절대적 왕권주의자 대원군에 의하여 그 싹은 잘리고 말았다. 따라서 다단한 전기가 될 근대적 개방에 의하여 재건하려던 서구적 교육 즉 朝 鮮 科 學 史 JB409.11-1 洪 以 燮 (홍이섭) 著 - 東 京 : 三 省 堂 出 版 ( 株 ) 1944년( 昭 和 19) [서론] 一. 과학사의 방법 인류의 행복의 증진은 과학과 자연과의 투쟁에 관련된다. 국가의 국방적 건설과 국토 계획 이야말로 국민생활의 최고의 지표인데 그 기초적 문제는 과학에 있다. 그러므로 현대 인류생 활의 기술적 문제로서의

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

Microsoft PowerPoint - M08_CPUcontrol.ppt [호환 모드]

Microsoft PowerPoint - M08_CPUcontrol.ppt [호환 모드] 제 8 장순차진행과제어 8-1 제어장치 동기형디지틀시스템의제어 : - master clock 발생회로에의한클럭제어 - 각클럭사이클마다레지스터의상태값변화 제어장치 - 연산순서에맞는제어신호공급 - 연속된마이크로연산결정 - Programmable and non-programmable system 명령어 (instruction) - programmable system

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

<4D F736F F D C6AFBAB0BACEB7CF2D322E204D4F544F52C8B8C0FC20BCD3B5B520C3F8C1A420B9E6B9FD20B1B8C7F62E444F43>

<4D F736F F D C6AFBAB0BACEB7CF2D322E204D4F544F52C8B8C0FC20BCD3B5B520C3F8C1A420B9E6B9FD20B1B8C7F62E444F43> * 모터회전속도측정 *. 엔코더모터의회전속도제어를위해서속도의측정이필요. 속도센서로는일반적으로 Incremental 엔코더를많이사용함. 전원 Motor 엔코더 신호출력 A 상 B 상 엔코더의신호출력방식 : Open Collector 와 Line Driver 방식이많이사용됨. 엔코더의회전당펄스수 (PPR): 60,500,5,600,000,04,000,048,4000,4096,8000,89

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 명령어의수행과제어 명령어에대해서학습하고, 명령어가수행되는과정에대하여학습한다. 1. 명령어의개요 2. 주소지정법 3. CPU 구조 4. 명령어의수행과제어 1. 명령 (Instruction) 개요 1.1 명령의구조 (1) OP Code(Operation Code) 1) 동작을지시 ( 동작부, 연산부, 명령부 ) 2) 명령의형식이나주소부의자료종류를지정. 3) 실행시스템의명령어개수와관련.

More information

슬라이드 1

슬라이드 1 보안회로설계 순차회로 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 조합과순차 조합회로 (combinational circuit) Memory가없다. 입력한값에따른출력 출력 = f ( 입력 ) 순차회로 (sequential circuit) Memory가있다. Memory에는회로의현상태가저장 출력은입력과현상태에의해결정

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

Microsoft PowerPoint - 부호기와 복호기.PPT

Microsoft PowerPoint - 부호기와 복호기.PPT 논리회로실험부호기와복호기 2005. 5. 3. 부호기와복호기란? 이론실험내용 개요 Encoder & Decoder 서로다른부호간의변환에사용되는것으로디지털신호를압축하거나전송시깨지지않도록바꾸는등여러가지목적에의해부호화라는장치와부호화되어전송되어온신호를다시원래의디지털신호로복호하는장치들을말한다. CODEC(enCOder DECoder) 이라고도한다. 기타 10진 to

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

Microsoft PowerPoint - DSD06b_Cont.pptx

Microsoft PowerPoint - DSD06b_Cont.pptx 한국기술교육대학교 장영조 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set Architecture) 한국기술교육대학교전기전자통신공학부

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

MAX+plusⅡ를 이용한 설계

MAX+plusⅡ를 이용한 설계 Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT 게이트들로이루어진멀티플렉서기능의논리회로구현멀티플렉서 : 여러개의입력중하나를선택하여출력하는기능모듈입력 s=: 단자 a 의값이단자 z 로출력입력 s=: 단자 b 의값이단자 z 로출력 File name

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

목차 제 1 장개요 제 2 장소프트웨어구조 제 3 장공통요소 I

목차 제 1 장개요 제 2 장소프트웨어구조 제 3 장공통요소 I 목차 제 1 장개요 제 2 장소프트웨어구조 제 3 장공통요소 I 목차 제 4 장 제 5 장 II 제 6 장 목차 제 7 장펑션과펑션블록 III 목차 제 8 장기본펑션 / 펑션블록라이브러리 IV 목차 V 목차 VI 목차 VII 1-1 1-2 2-1 2-2 2) 3) 2-3 2-4 3-1 3-2 3-3 3-4 3-5 3-6 ±± ±± 3-7 3-8 3-9 3-10

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수 마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수있는 TTL-호환출력 < 핀구성 > 시그네틱스 (Signetics) 사의 NE555, SA555,

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

CPX-E-SYS_BES_C_ _ k1

CPX-E-SYS_BES_C_ _ k1 CPX-E 8727 27-7 [875294] CPX-E-SYS-KO CODESYS, PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-SYS-KO 27-7 ... 5.... 5.2... 5.3... 5.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2 CPX-E... 7 2..3 CPX-E... 9 2..4...

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

글리치 - 프리주파수시프팅기능 시스템설계자들은한층엄격해지고있는성능및전력요구사항을충족시킬수있는솔루션을개발하는데있어서중요한설계기술과제에직면해있다. 일반적인기술과제는시스템설계를단순화시키면서최근의녹색및재생가능에너지활동들의측면에서전력소모를최소화시키는것이다. 글 / 허브춘 (Herbe Chun), 타이밍제품마케팅부장, 실리콘랩 시스템설계자들은한층엄격해지고있는성능및전력요구사항을충족시킬수있는솔루션을개발하는데있어서중요한설계기술과제에직면해있다.

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드] VHL 프로그래밍 10. 논리합성및설계기법 한동일 학습목표 VHL 을이용한시스템구현과정을이해한다. 논리합성이가능한 RTL 코드의개념을이해한다. ASIC 제작과정을이해한다. FPGA 제작과정을이해한다. RTL 시뮬레이션과정을이해한다. 논리합성이되는구문과되지않는구문을파악한다. 좋은 VHL 코딩스타일을따른다. 준안정상태의개념을이해한다. 비동기신호인터페이스를구현할수있다.

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

Microsoft PowerPoint - Chapter 8_USART Serial Communication

Microsoft PowerPoint - Chapter 8_USART Serial Communication MEC382 마이크로프로세서응용및실습 USART Serial Communication Jee-Hwan Ryu School of Mechanical Engineering 통신방법 병렬통신 고속데이터전송이필요한곳에서이루어짐 여러개의라인에서동시에이루어짐 직렬통신 한라인에서이루어짐 데이터의송수신속도가느리다 라인수적고멀리까지통신 동기식, 비동기식있음 동기식 : 기준클럭인동기클럭라인과데이터송

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2

Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2 저전력복합센서용 아날로그프론트엔드 (AFE) 기술 2011. 04. 27. 센서인터페이스연구팀 / 융합부품 소재연구부문 1 Ⅰ 개요 II 센서특징 III 복합센서 ROIC IV Voltage domain AFE V Time domain AFE 2 1 개요 기술의정의 2 개이상의센서들이하나의모듈또는패키지형태로일체형으로제작된센서 가스센서 박막가스센서, 집적형가스센서

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

@ p a g e c o n te n tt y p e = " te x t/ h tm l;c h a rs e t= u tf- 8 " fo r (in t i= 0 ; i< = 1 0 ; i+ + ) { o u t.p rin tln (" H e llo W o rld " + i + " < b r/> " ); = re s u lt + re s u lts u m ()

More information

Microsoft Word - Encoder Trigger Controller 사양서_ _.doc

Microsoft Word - Encoder Trigger Controller 사양서_ _.doc Encoder Trigger Controller 사양서 ( V2.1 ) (CTS-TRGE-AA01) 2014. 06. 10 1. 제품개요 본제품은 Encoder 신호를입력으로받아일정한 Counting 주기로 Pulse 신호를만들고, 다양한종류의신호레벨로변환하여출력할수있으며, 각신호종류에따라최대 8 채널의 Trigger 신호를출력할수있습니다. 각각의채널은독립적으로설정이가능하며,

More information

제 호 년 제67차 정기이사회, 고문 자문위원 추대 총동창회 집행부 임원 이사에게 임명장 수여 월 일(일) 년 월 일(일) 제 역대 최고액 모교 위해 더 확충해야 강조 고 문:고달익( 1) 김병찬( 1) 김지훈( 1) 강보성( 2) 홍경식( 2) 현임종( 3) 김한주( 4) 부삼환( 5) 양후림( 5) 문종채( 6) 김봉오( 7) 신상순( 8) 강근수(10)

More information

FX2N-2AD FX2N-2DA FX2N-4AD FX2N-4DA 1 FX2N-2AD FX2N-2DA FX2N-4AD FX2N-4DA FX2N-4AD-PT FX2N-4AD-TC FX2N-1HC FX2N-8AD FX-1PG FX2N-1PG 2 3 4 5 6 7 8 9 10 FX2N-10PG FX2N-4AD-PT FX2N-4AD-TC FX2N-1HC FX2N-8AD

More information

2004math2(a).PDF

2004math2(a).PDF 3 2004 1..,,,..,. 2. 1.. 1.. LCD ( )? () ( ) 2. 100. () () 3... < > (1). (2). (3) ( ) < > < >(1)(3). < > (), (3)< >()? ()... () A.. B.. C.. (3), A, B, A, B, C 4. (), (). < >? < >? [2] ..,.,,,,,

More information

슬라이드 1

슬라이드 1 한경대학교전기전자제어공학과 유동상교수 실험목적 - 회로의주파수응답및필터에대해이해 강의내용 - 주파수응답과필터 - 저주파통과필터 - 고주파통과필터 오늘의실험 - Multisim을이용한시뮬레이션 - 브레드보드에회로구성을통한실험및계측 이득 (Gain) : 입력정현파의진폭에대한출력정현파의진폭의비 gain output amplitude input amplitude

More information

초급과정 목차

초급과정 목차 제목 S7 PLC 소개.... 2 STEP 7 패키지구성... 3 Automation License Manager... 4 Automation License Manager 화면설명... 5 License 설치 / 백업... 6 P.C PLC 연결...... 7 인터페이스설정.... 8 P.C Adapter(RS-232C, USB) 인터페이스설정... 9 P.C

More information