PowerPoint 프레젠테이션

Size: px
Start display at page:

Download "PowerPoint 프레젠테이션"

Transcription

1 NuPIC ~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교

2 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

3 연구동기 원자로보호시스템 (RPS) 의플랫폼변경필요성증가 (PLC FPGA) 기존 PLC 개발방법론을기반으로 FPGA 개발을지원할수있는 개발프로세스 및 통합 SW 개발환경 필요 HW 중심개발 FBD program for PLC Netlist design for FPGA 3

4 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 프로세스 기존의 PLC 개발프로세스기반으로 FPGA 를개발할수있는 adaptive 프로세스 지원도구 FBD Editor FBD 프로그래밍 기작성된 FBD 프로그램읽기 (PLCopen TC6 포맷 ) FBD 를 PLCopen TC6 형식의 XML 파일로저장 FBDtoVerilog FBD 를 Verilog 프로그램으로자동변환 FBDtoVHDL FBD 를 VHDL 프로그램으로자동변환 Actel_Linker / Questa_Linker Verilog/VHDL 프로그램을상용 FPGA Synthesis 도구와연동 4

5 기존 PLC 기반개발프로세스 안전성분석기법적용 Requirements Analysis Design Implementation SRS Manual Programming FBD/LD Program C Program Executable Code for PLC PLC Automatic Translator COTS Compiler 정형기법사용가능 (NuDE, SCADE 등 ) 자동변환기의기능안전성확보필요 상용컴파일러사용 5

6 제안하는개발프로세스 기존 PLC 기반개발프로세스 + FPGA 개발프로세스 + 지원도구 Requirements Analysis Design Implementation 1. FBD Editor SRS Manual Programming FBD/LD Program C Program FBD Design 도구 Executable Code for PLC PLC Automatic Translator COTS Compiler FPGA Implementation 2. FBDtoVerilog, FBDtoVHDL Automatic Translator FBD HDL 언어자동변환도구 Verilog Program Synthesis Netlist Executable Code for Optimization FPGA Placement & Routing Design Verification Configuration Downloading FPGA 6

7 연구진행현황 Adaptive 개발프로세스 Requirements Design HDL Coding FPGA Implementation FBD Program XML Files VHDL/ Verilog Codes IN OUT IN OUT Netlist JEDEC File FBD Program FBD Program FPGA FBD Editor FBD to HDL Translator Linker 추후개발 연구개발연구개발中 상용도구 Automatic Translation 7

8 개발현황 FBD Editor Eclipse Plug-in 으로개발 FBD Programming 가능 (IEC FBD 표준준수 ) Xml 파일로저장 (PLCopen TC6 Schema 준수 ) FB (+ Symbolic expression) Input variables Output variable FB List (IEC FBD 표준 ) FBD Editor 구동화면 8

9 개발현황 FBDtoVerilog FBD 를상위수준언어인 Verilog HDL 로자동변환 FBD Editor 에통합 (Eclipse Plug-in) PLCopen TC6 Schema 를읽고, 변환후 Verilog 파일로저장 FBDtoVerilog (Click 으로자동변환 ) FBD (.xml) 자동변환 Verilog (.v) FBD Editor 구동화면 9

10 Case Study A Fixed Set-Point Rising Trip FBDtoVerilog + (KAERI 개발 ) FBD (# of Function Block = 13) Verilog (Module call = 13) 10

11 Linker 개발中 Verilog (.v) Microsemi - Libero Netlist View 실제 FPGA 칩 (Microsemi - ProASIC3 ) Download 11

12 향후연구계획 구현 FBDtoVHDL 구현중 상용소프트웨어와의연계도구구현예정 Libero, Questasim 연결기 케이스스터디 APR-1400 RPS BP 전체에대한 Case Study 진행예정 Safety Demonstration FBD Editor 에대한 V&V FBDtoVerilog 및 FBDtoVHDL 에대한 V&V 추가연구 상용으로제공되는 FPGA Synthesis 의정확성을검증하는정형기법 12

13 진행중인추가연구 Synthesis Confirmation Design FPGA Implementation Verilog Program Automatic Synthesis Netlist Optimization Placement & Routing Design Verification Configuration Executable Code for FPGA Downloading FPGA Automatic Translator vl2mv Provided by VIS Automatic Translator EDIFtoBLIF-MV ( 자체개발中 ) BLIF-MV BLIF-MV Equivalence Checking FPGA 자동합성도구의정확성을간접적으로증명! VIS 13

14 결론 원자로보호시스템 (RPS) 의플랫폼변경필요성증가 기능복잡도 유지보수비용 효과적인 PLC FPGA 전환을위한 Adaptive RPS SW 개발프로세스제시 FBDtoVerilog 자동변환기사용 기존의 PLC SW 개발경험 노하우유지 기존의 PLC SW 개발에적용되던분석및검증기법을그대로적용가능 Diversity 확보, 프로토타입역할, 성능 & 기능비교분석용테스트베드 통합 SW 개발환경구축을위한개발도구구현 FBD Editor FBDtoVerilog FBDtoVHDL Actel_Linker / Questa_Linker 14

15 Acknowledgement FPGA- 기반제어기통합개발환경을위한핵심소프트웨어기술개발 과제책임자 : 최종균 책임연구기관 : 한국원자력연구원 연구기간 : ~ (2년 9개월 ) 김의섭 ( 석 2) 서영주 ( 석 1) 최종균과제책임 이영준선임 + 윤상현 ( 박 2) 이종훈 ( 박 1) 건국대학교 이동아 ( 박 2) 15

16 감사합니다. 16

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽

졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 졸업작품계획서 FBD, Verilog, VHDL, EDIF 및 JEDEC 을위한 Co-Simulation Tools 지도교수유준범 건국대학교컴퓨터공학부 김그린김신김재엽 목차 1. 선정배경및목적 2. 관련기술및기술동향 3. 프로젝트세부사항 A. 시스템구성도 B. 시나리오 C. 기대효과 D. 개발환경 4. 스케줄 5. 팀구성및역할 6. 참고문헌 1. 선정배경및목적

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software

A New Equivalence Checker for Demonstrating Correctness of Synthesis and Generation of Safety-Critical Software 소프트웨어모델링및분석 (Equivalence Checking 소개 ) 김의섭 Dependable Software Laboratory KONKUK University 2016.06.03 Equivalence Checking 이란? Equivalence Checking: 두프로그램이동일한기능을하는지정형적으로검증하는방법 왜 Equivalence Checking 이필요한가?

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

COTS SW Dedication

COTS SW Dedication COTS SW DEDICATION Introduction Jsjj0728@konkuk.ac.kr 내용 1.0 Commercial-Grade Item Dedication... 2 1.1 소개... 2 2.0 용어정리및약어... 3 2.1 용어정리... 3 2.2 약어... 6 3.0 NP-5652/TR-106439 개요... 7 3.1 NP-5652의인증방법...

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 솔루션발표 김의섭 목차 1. Coverage 관련 TestBench Generation Multi-level Coverage 2. Stability Analysis 관련 3. 창의과제논문관련 A Seamless Platform Change of Digital I&Cs from PLC to FPGA: Empirical Case Study An Integrated

More information

COTS SW dedication

COTS SW dedication COTS SW Dedication Introduction and Concept 정세진 Dependable Software Laboratory Konkuk Univ. NP-5652/TR-106439 The process overview of NP-5652 Performing combination of 4 methods to dedicate Targeting direct

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Introduction to Development and V&V of FPGA-based Digital I&Cs 김의섭 목차 1. FPGA 2. Development Process / V&V 3. Summary 2 01 [ ] FPGA FPGA 프로그램이가능한비메모리반도체의일종. 회로변경이불가능한일반반도체와달리용도에맞게회로를다시새겨넣을수있다. 따라서사용자는자신의용도에맞게반도체의기능을소프트웨어프로그램하듯이변형시킬수있다.

More information

서현수

서현수 Introduction to TIZEN SDK UI Builder S-Core 서현수 2015.10.28 CONTENTS TIZEN APP 이란? TIZEN SDK UI Builder 소개 TIZEN APP 개발방법 UI Builder 기능 UI Builder 사용방법 실전, TIZEN APP 개발시작하기 마침 TIZEN APP? TIZEN APP 이란? Mobile,

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

1 SW 2015. 02 26

1 SW 2015. 02 26 02 1 SW 2015. 02 26 2-1 SW 2015. 02 27 SW 2015. 02 2-1 28 SW 2015. 02 29 2 SW 2015. 02 2-2 30 2-2 SW 2015. 02 31 SW 2015. 02 32 2-3 SW 2015. 02 33 3 SW 2015. 02 2-3 34 2-4 SW 2015. 02 35 4 SW 2015. 02

More information

SW 2015. 02 5-1 89

SW 2015. 02 5-1 89 SW 2015. 02 88 SW 2015. 02 5-1 89 SW 2015. 02 5-2 5-3 90 SW 2015. 02 5-4 91 SW 2015. 02 5-5 5-6 92 5-7 SW 2015. 02 93 SW 2015. 02 5-8 5-1 94 SW 2015. 02 5-9 95 SW 2015. 02 5-10 5-2 96 SW 2015. 02 5-11

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

Microsoft PowerPoint - KNS 기술정보회의(070405)-김창회

Microsoft PowerPoint - KNS 기술정보회의(070405)-김창회 디지털계측제어계통의 PLC 설계방향 2007. 4. 5 원자력연구소 김창회 -1- Table of Contents 안전등급 PLC (POSAFE-Q) 특징 POSAFE-Q 제공모듈들 POSAFE-Q 설계기준 POSAFE-Q 설계방법및절차 POSAFE-Q 시험종류및절차 완제품제작과정 분석보고서 3자검증결과 TR 작성 결론 -2- 안전등급 PLC (FOSAFE-Q)

More information

<B1D4B0DDBCAD202D20C4DAB5E520B1E2B9DD2E687770>

<B1D4B0DDBCAD202D20C4DAB5E520B1E2B9DD2E687770> 서식제호 규격서 규격서 COMMODITY DESCRIPTION 세부품명번호 Item No. 품목및규격 Description 코드기반표준SW 개발 / 검증 / 지원도구 (Code based standard software solutions for development, verification, and support) 단위 수량 Unit Quantity Set

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer

Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer Domino, Portal & Workplace WPLC FTSS Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer ? Lotus Notes Clients

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

<4D F736F F D20C0CCBEBEC1A6BEEE5FC3A5BCD2B0B35F >

<4D F736F F D20C0CCBEBEC1A6BEEE5FC3A5BCD2B0B35F > 이씨제어 한글기술서적소개 1. SIMATIC S7-300/400 초급과정교육교재 S7-300/400 에대한초급교육에사용되는한글판교육교재. * 참고영어원문 : Programming with STEP 7, Automating with STEP 7 in STL and SCL A4 단면 280 쪽, 파워포인트컬러판 2. SIMATIC S7-300/400 중급과정교육교재

More information

1. 자바프로그램기초 및개발환경 2 장 & 3 장. 자바개발도구 충남대학교 컴퓨터공학과

1. 자바프로그램기초 및개발환경 2 장 & 3 장. 자바개발도구 충남대학교 컴퓨터공학과 1. 자바프로그램기초 및개발환경 2 장 & 3 장. 자바개발도구 충남대학교 컴퓨터공학과 학습내용 1. Java Development Kit(JDK) 2. Java API 3. 자바프로그래밍개발도구 (Eclipse) 4. 자바프로그래밍기초 2 자바를사용하려면무엇이필요한가? 자바프로그래밍개발도구 JDK (Java Development Kit) 다운로드위치 : http://www.oracle.com/technetwork/java/javas

More information

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D>

<4D F736F F F696E74202D20B1E2BCFAC1A4BAB8C8B8C0C72DB0E8C3F8C1A6BEEE2DC0CCC0E7C8EF2E BC0D0B1E220C0FCBFEB5D> Programmable Logic Device 설계특성 2006. 4. 6. 이재흥한밭대학교정보통신컴퓨터공학부 발표순서 1. PLD의개요및구조 2. CPLD/FPGA의구조 3. CPLD/FPGA 설계및검증방법 4. Embedded SW와 FPGA Design 질의 & 응답 2 ASIC vs PLD Standard ICs General-purpose processors,

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

SW¹é¼Ł-³¯°³Æ÷ÇÔÇ¥Áö2013

SW¹é¼Ł-³¯°³Æ÷ÇÔÇ¥Áö2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING WHITE BOOK : KOREA 2013 SOFTWARE ENGINEERING

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

2

2 주 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 1 2 3 21 22 Check List Check List Check List 23 24 25 www.khgames.co.kr 26 27 28 HOT CLICK 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48

More information

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor

Ver. T3_DWS.UTP-1.0 Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date Team Infor Unit Testing Plan for Digital Watch System Test Plan Test Design Specification Test Cases Specification Date 2012-10-25 Team Information Sanghyun Yoon shyoon.dslab@gmail.com Dependable Software Laboratory

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

untitled

untitled MDEP I&C 2009. 4.6 ~ 4.7 14 I. MDEP II. DICWG III. DICWG SW IV. Nuclear Safety Information Conference 2009 Slide -2- I. MDEP MDEP? Multinational Design Evaluation Program MDEP Nuclear Safety Information

More information

01 EDITOR S PICK: 068_ _069

01 EDITOR S PICK: 068_ _069 01 EDITOR S PICK: 068_ _069 070_ _071 02 072_ _073 074_ _075 076_ _077 03 078_ _079 080_ _081 082_ _083 01 086_ _087 088_ _089 090_ _091 092_ _093 094_ _095 02 096_ _097 098_ _099 100_ _101 102_ _103

More information

4S 1차년도 평가 발표자료

4S 1차년도 평가 발표자료 모바일 S/W 프로그래밍 안드로이드개발환경설치 2012.09.05. 오병우 모바일공학과 JDK (Java Development Kit) SE (Standard Edition) 설치순서 Eclipse ADT (Android Development Tool) Plug-in Android SDK (Software Development Kit) SDK Components

More information

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다. Eclipse 개발환경에서 WindowBuilder 를이용한 Java 프로그램개발 이예는 Java 프로그램의기초를이해하고있는사람을대상으로 Embedded Microcomputer 를이용한제어시스템을 PC 에서 Serial 통신으로제어 (Graphical User Interface (GUI) 환경에서 ) 하는프로그램개발예를설명한다. WindowBuilder:

More information

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드] IC-CAD CAD 실험 Lecture 3 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

1

1 WebPACK ISE5.1i Manual Insight Korea Xilinx FAE Team 2003. 3. 10 WebPACK ISE 5.1i( 이하 WebPACK ) 은 Xilinx FPGA 나 CPLD 를쉽게디자인할수있게 하는 Free Design Software 로서 Design Entry, Synthesis, 그리고 Verification, Simulation

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

歯Enet_목차_.PDF

歯Enet_목차_.PDF GLOFA-GM - TCP ( ) 1) IEC(International Electrotechnical Commission : ), 2), 2,.,. RUN CPU I/F RUN FB-SERVICE HS-SERVICE GMWIN-SERV GLOFA-SERV FTP-SERVICE H/W ERROR 10B5 enable 10B2 enable 10BT

More information

Microsoft PowerPoint - PL_03-04.pptx

Microsoft PowerPoint - PL_03-04.pptx Copyright, 2011 H. Y. Kwak, Jeju National University. Kwak, Ho-Young http://cybertec.cheju.ac.kr Contents 1 프로그래밍 언어 소개 2 언어의 변천 3 프로그래밍 언어 설계 4 프로그래밍 언어의 구문과 구현 기법 5 6 7 컴파일러 개요 변수, 바인딩, 식 및 제어문 자료형 8

More information

2

2 2 3 4 5 6 7 8 9 10 Game 11 12 13 14 15 16 17 18 19 20 1 2 3 21 22 Check List Check List Check List 23 24 25 26 27 28 HOT CLICK 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 HIS GAME FOCUS 48

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

연구실안전사례집-내지

연구실안전사례집-내지 Laboratory Safety CONTENTS 01 02 05 08 12 16 19 20 23 25 27 29 33 34 37 39 41 Laboratory Safety 45 46 49 51 54 56 58 61 62 65 68 71 72 75 78 80 84 86 88 92 95 99 100 103 106 109 111 113 115 117 119 123

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 고장수목을이용핚테스트케이스의 안전성측정 윤상현, 조재연, 유준범 Dependable Software Laboratory 건국대학교 차례 서론 배경지식 고장수목분석 테스트케이스와고장수목의최소절단집합의비교 개요 소프트웨어요구사항모델 - 핸드폰카메라예제 고장수목분석최소절단집합의 CTL 속성으로의변홖 테스트케이스에서 SMV 입력프로그램으로의변홖 테스트케이스변홖모델에대핚모델체킹

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

C 프로그래밍 언어 입문 C 프로그래밍 언어 입문 김명호저 숭실대학교 출판국 머리말..... C, C++, Java, Fortran, Python, Ruby,.. C. C 1972. 40 C.. C. 1999 C99. C99. C. C. C., kmh ssu.ac.kr.. ,. 2013 12 Contents 1장 프로그래밍 시작 1.1 C 10 1.2 12

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

슬라이드 1

슬라이드 1 NuPIC 2013 엔지니어링지원도구를이용한 APR1400 경보원인추적시스템개발원전운전성개선계측제어기술개발 2013. 11. 07 김정택 계측제어 인간공학연구부 목차 APR1400 경보원인추적시스템 (LogACTs) 연구개발목표및기능연구개발내용경보원인추적시스템 (LogACTs) 엔지니어링도구개발경보원인추적시스템엔지니어링도구화목적엔지니어링도구의구성엔지니어링지원도구개발문자검색을이용한경보분석지원도구개발

More information

2

2 2 3 4 5 6 7 8 40% 4% 2% 22% 4% 0% 11% 18% 9 10 Game 11 12 13 14 15 16 17 18 19 20 21 22 Check List Check List Check List 23 24 25 26 27 28 HOT CLICK 29 30 31 32 33 34 35 36 37 38 39 40 41 www.khgames.co.kr

More information

歯기구학

歯기구학 1 1.1,,.,. (solid mechanics)., (kinematics), (statics), (kinetics). ( d y n a m i c s ).,,. ( m e c h a n i s m ). ( l i n k a g e ) ( 1.1 ), (pin joint) (revolute joint) (prismatic joint) ( 1.2 ) (open

More information

1

1 MJL Technology, Ltd. / Logic Design 메모 메모 (Technical) Document No.: MJL-LD-AN-10 Author: 양창우 [cwyang@mjl.com] Version: 1.0 Date: 2001 년 3 월 30 일 Subject: LeonardoSpectrum 을사용하여 LPM Function 이사용된 Verilog-HDL

More information

03.Agile.key

03.Agile.key CSE4006 Software Engineering Agile Development Scott Uk-Jin Lee Division of Computer Science, College of Computing Hanyang University ERICA Campus 1 st Semester 2018 Background of Agile SW Development

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 C 언어개요 Jo, Heeseung 이장의내용 C 언어소개간단한 C 프로그램명령줄프로그래밍 C 프로그램의이해 2 C 언어소개 C 언어유래 1972 년 Dennis Ritchie 가설계함 UNIX 운영체제개발에사용됨 C 언어에직접영향을준언어들 Algol CPL BCPL B C C 언어의특징 구조화된언어로서모듈별설계가가능 높은이식성 - 다양한하드웨어에서사용가능

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

BMP 파일 처리

BMP 파일 처리 BMP 파일처리 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 영상반전프로그램제작 2 Inverting images out = 255 - in 3 /* 이프로그램은 8bit gray-scale 영상을입력으로사용하여반전한후동일포맷의영상으로저장한다. */ #include #include #define WIDTHBYTES(bytes)

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S

Boundary Scan Design(JTAG) JTAG 의특징 Boundary Scan은기기의 input과 Output 핀들에대해가능하게해주는기본 DFT(Design for Test) 구조이다. 그림1에서는 IEEE Std 에상응하는기본 Boundary S TECHNICAL FEATURE Beginner Corner Boundary Scan Design(JTAG) 반도체제조공정을통하여반도체가생성되면불량제품을가려내는테스트과정이필요하다. 0.35um 이하의공정으로수십 ~ 수백만게이트가집적된반도체 VLSI 제품을테스트하는작업이그리간단한일은아니다. 따라서반도체분야에서항상이슈가되는것이바로 TEST 항목인데, 이글을통하여

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 _ 쾌적한 Clone Checker OOPT 2 nd Cycle System Test Software Modeling & Analysis 유준범교수님 Team. T1 201111388 조연호 201211374 이창오 201211379 장종훈 201314196 양동혁 목차 Contents Revise Plan Test Report Test Respond Report

More information

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc Modelsim 과 Quartus II 를이용한설계방법 퀀텀베이스연구개발실, 경기도부천시원미구상동 546-2, 두성프라자 1-606 TEL: 032-321-0195, FAX: 032-321-0197, Web site: www.quantumbase.com 최근 Modelsim은 PC에포팅되어있는것에힘입어많은설계자들이사용하고있습니다이에 Modelsim을이용하여설계하고,

More information

歯TC프로그래밍매뉴얼

歯TC프로그래밍매뉴얼 Turning Center (TC) HX - Programming Manual Serial No. : PG-20011119 HX - Programming Manual Turning Center (TC) 1...!. 2 (Program)...19 3 (G )...33 4 (Interpolation Functions)...37 5 (Feed Function)...73

More information

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt

Microsoft PowerPoint - ASIC ¼³°è °³·Ð.ppt 이강좌는 C & S Technology 사의지원으로제작되었으며 copyright 가없으므로비영리적인목적에한하여누구든지복사, 배포가가능합니다. 연구실홈페이지에는고성능마이크로프로세서에관련된많은강좌가있으며누구나무료로다운로드받을 수있습니다. ASIC 설계개론 2003. 2. 연세대학교전기전자공학과프로세서연구실박사과정정우경 E-mail: yonglee@yonsei.ac.kr

More information

PRO1_16E [읽기 전용]

PRO1_16E [읽기 전용] MPI PG 720 Siemens AG 1999 All rights reserved File: PRO1_16E1 Information and MPI 2 MPI 3 : 4 GD 5 : 6 : 7 GD 8 GD 9 GD 10 GD 11 : 12 : 13 : 14 SFC 60 SFC 61 15 NETPRO 16 SIMATIC 17 S7 18 1 MPI MPI S7-300

More information

PRO1_19E [읽기 전용]

PRO1_19E [읽기 전용] PCS 7 NET PC WinCC HMI DP Siemens AG 1999 All rights reserved File: PRO1_19E1 Information and 2 /C7/M7 WinAC 3 STEP 7- S7/C7/M7 4 S7- GRAPH 5 S7- HiGraph 6 S7- SCL 7 CFC M7 8 S7- SFC 9 S7- PDIAG 10 S7-

More information

2

2 2 3 4 5 6 7 8 9 10 am Game 11 12 13 14 15 16 17 18 19 20 1 2 3 21 22 Check List Check List Check List 23 24 25 www.khgames.co.kr 26 27 28 HOT CLICK 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46

More information

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3

13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 13 Lightweight BPM Engine SW 13 Who am I? R&D, Product Development Manager / Smart Worker Visualization SW SW KAIST Software Engineering Computer Engineering 3 BPM? 13 13 Vendor BPM?? EA??? http://en.wikipedia.org/wiki/business_process_management,

More information

Microsoft PowerPoint - System Programming Lab Week1.ppt [호환 모드]

Microsoft PowerPoint - System Programming Lab Week1.ppt [호환 모드] System Programming Lab Week 1: Basic Skills for Practice Contents vi Editor 사용법 GCC 컴파일러사용법 Makefile 사용법 GDB 사용법 VI Editor Usage vi 모드 입력모드 : 실제문서를편집하는모드. 명령모드 : 키입력이바로명령이되는모드로서쓴내용을삭제하거나, 복사할때사용. ex 명령모드

More information

2

2 2 3 4 19.6% 29.4% 21% 3% 27% 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 Check List Check List Check List 23 24 25 www.khgames.co.kr 26 27 28 HOT CLICK 29 30 31 32 33 34 Q Q [ INTERVIEW ] Q Q Q [

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

발간등록번호 11-1541000-001187-01 농림수산사업성과평가 [ 식품유통재정사업 ] 연구기관 : 한국정책평가연구원 2011. 12 제출문 농림수산식품부장관귀하본보고서를 농림수산사업성과평가 의최종보고서로제출합니다. 2011. 12. 연구진 연구총괄박경귀 ( 한국정책평가연구원원장 ) 연구책임자윤인주 ( 한국정책평가연구원연구위원 ) 공동연구원송재옥 (

More information

2015.08월호 IBK.고해상 단면.pdf

2015.08월호 IBK.고해상 단면.pdf 2015. AUGUST VOL. 126 SPECIAL. 1 SPECIAL. 2 SPECIAL. 3 IBK Economic Research Institute CONTENTS 2015. August vol.126 002 SPECIAL. 1 SPECIAL. 2 SPECIAL. 3 M MANAGEMENT LOUNGE 004 030 034 036 038 008 E ECONOMY

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

< > 1. 1 1.1 1 1.2 2 2. 3 2.1 3 2.2 3 2.2.1 3 2.2.2 3 2.2.3 4 2.2.4 (Competency Requirement) 4 2.3 4 3. 5 3.1 5 3.2 7 3.2.1 7 3.2.2 (Competency Requirement) 02 4. 49 < > 1. 4 1.1 2000,, 2011 6, ISO (Technical

More information

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770>

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770> i ii iii iv v vi 1 2 3 4 가상대학 시스템의 국내외 현황 조사 가상대학 플랫폼 개발 이상적인 가상대학시스템의 미래상 제안 5 웹-기반 가상대학 시스템 전통적인 교수 방법 시간/공간 제약을 극복한 학습동기 부여 교수의 일방적인 내용전달 교수와 학생간의 상호작용 동료 학생들 간의 상호작용 가상대학 운영 공지사항,강의록 자료실, 메모 질의응답,

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

#KM-235(110222)

#KM-235(110222) PARTS BOOK KM-235A/B INFORMATION A. Parts Book Structure of Part Book Unique code by mechanism Unique name by mechanism Explode view Ref. No. : Unique identifcation number by part Parts No. : Unique Product

More information

EWAVR 5.1x 프로젝트 옵션 설정(1_2) 2. Project -> > Option -> > General Option -> > Output / Library Configuration Library Configuration 은 사용하게 될 Library file을

EWAVR 5.1x 프로젝트 옵션 설정(1_2) 2. Project -> > Option -> > General Option -> > Output / Library Configuration Library Configuration 은 사용하게 될 Library file을 EWAVR 5.1x 프로젝트 옵션 설정(1) 1. Project -> Option -> General Options General Options Target 은 IAR Compiler 를 사용 프로세서에 맞추는 가장 중요한 초기화 과정이다. Processor configuration 에서 V0~ V6 설정은 Code/ Data Memory 를 기준으로 사용되는

More information

형식 언어

형식 언어 컴파일러개요 아주대학교정보및컴퓨터공학부 목차 컴파일러란 프로그래밍언어 관련프로그램들 컴파일러의일반적인구조 컴파일러자동화도구 Compiler 2 컴파일러란 Compiler A compiler is a computer program which translates programs written in a particular high-level programming

More information

Modern Javascript

Modern Javascript ES6 - Arrow Function Class Template String Destructuring Default, Rest, Spread let, const for..of Promises Module System Map, Set * Generator * Symbol * * https://babeljs.io/ Babel is a JavaScript compiler.

More information

슬라이드 1

슬라이드 1 공통원인고장 (CCF) 대응 다양성및심층방어기술개발 목차 1 연구개요 2 연구결과 3 추후연구계획 4 결론 연구개요 (1/4) 필요성 KNCS+Nu Tech 2012 사업으로 MMS 국산화및신울진 1,2 적용원전에디지털 MMS 시스템이설치되면서마이크로프로세서, 소프트웨어, 통신망사용으로예상되는 CCF( 공통원인고장 ) 로인해다중화된안전계통전체가불능되는인허가현안기술개발

More information

칼 럼 1 하버드대에 부는 컴퓨팅 교육 열풍 김진형 소프트웨어정책연구소 소장 최근 하버드대학의 컴퓨터과학 입문 과목인 CS50강좌가 화제다. 이 번 학기에 820명의 수강생이 몰려 하버드 대학에서 가장 인기 있는 강 좌로 등극했다. 한 동안 인기를 누리던 마이클 셀던

칼 럼 1 하버드대에 부는 컴퓨팅 교육 열풍 김진형 소프트웨어정책연구소 소장 최근 하버드대학의 컴퓨터과학 입문 과목인 CS50강좌가 화제다. 이 번 학기에 820명의 수강생이 몰려 하버드 대학에서 가장 인기 있는 강 좌로 등극했다. 한 동안 인기를 누리던 마이클 셀던 SPRi 칼럼 1. 하버드대에 부는 컴퓨팅 교육 열풍 - 김진형 소프트웨어정책연구소 소장 2. SW 중심 사회를 여는 프로그래밍 씽킹 - 김성진 삼성전자 박사, 페이스북 생활컴퓨팅 커뮤니티 개설자 3. 이제는 소프트웨어 상식을 갖출 때 - 이수현 창원대학교 교수, 소프트웨어정책연구소 방문연구원 칼 럼 1 하버드대에 부는 컴퓨팅 교육 열풍 김진형 소프트웨어정책연구소

More information

Microsoft PowerPoint - 11주차_Android_GoogleMap.ppt [호환 모드]

Microsoft PowerPoint - 11주차_Android_GoogleMap.ppt [호환 모드] Google Map View 구현 학습목표 교육목표 Google Map View 구현 Google Map 지원 Emulator 생성 Google Map API Key 위도 / 경도구하기 위도 / 경도에따른 Google Map View 구현 Zoom Controller 구현 Google Map View (1) () Google g Map View 기능 Google

More information

Microsoft PowerPoint - CoolMessenger_제안서_라이트_200508

Microsoft PowerPoint - CoolMessenger_제안서_라이트_200508 2005 Aug 0 Table of Contents 1. 제안 개요 P.2 2. 쿨메신저 소개 P.7 3. VoIP 인터넷전화 서비스 P.23 4. 쿨메신저 레퍼런스 사이트 P.32 5. 지란지교소프트 소개 P.37 1 芝 蘭 之 交 2 1. 제안 개요 1) Summery 3 1. 제안 개요 2) 일반 메신저 vs 쿨메신저 보안 문제 기업 정보 & 기밀 유출로

More information

DIY 챗봇 - LangCon

DIY 챗봇 - LangCon without Chatbot Builder & Deep Learning bage79@gmail.com Chatbot Builder (=Dialogue Manager),. We need different chatbot builders for various chatbot services. Chatbot builders can t call some external

More information

무인항공기 국제표준 현황 및 테스팅 형상관리 서비스

무인항공기 국제표준 현황 및 테스팅 형상관리 서비스 유무인항공기용 SW 안전가이드소개 정보통신산업진흥원 SW 공학기술팀 윤형진수석 (yhjsqa@nipa.kr) Contents 01 02 03 들어가기 유무인항공기시장동향 소프트웨어안전가이드소개 04 맺음말 01. 들어가기 01. 들어가기 테슬라전기차모델 S 는주기적인 SW 업그레이드를통해오래탈수록품질이좋아지는자동차입니다. - 파울라덴뒤넌테슬라유럽커뮤니케이션디렉터

More information

<4D F736F F F696E74202D204C BAB8C3E6BCB3B8ED202D20C7C1B7CEB1D7B7A1B9D6C0DBBCBAB0FAC1A42C C F>

<4D F736F F F696E74202D204C BAB8C3E6BCB3B8ED202D20C7C1B7CEB1D7B7A1B9D6C0DBBCBAB0FAC1A42C C F> 2014-1 C/C++ 프로그래밍작성과정, Visual Studio 2014. 3. 5. 교수김영탁 영남대학교공과대학 정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) Outline 통합개발환경 Visual C++ 를이용한프로그램작성

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Software Verification Junit, Eclipse 및빌드환경 Team : T3 목차 Eclipse JUnit 빌드환경 1 Eclipse e 소개 JAVA 를개발하기위한통합개발환경 주요기능 Overall 빌드환경 Code edit / Compile / Build Unit Test, Debug 특징 JAVA Code를작성하고이에대한 debugging

More information

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf("hihi\n"); } warning: conflicting types for functiona

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf(hihi\n); } warning: conflicting types for functiona 이름 : 학번 : A. True or False: 각각항목마다 True 인지 False 인지적으세요. 1. (Python:) randint 함수를사용하려면, random 모듈을 import 해야한다. 2. (Python:) '' (single quote) 는한글자를표현할때, (double quote) 는문자열을표현할때사용한다. B. 다음에러를수정하는방법을적으세요.

More information

2

2 2 3 4 5 6 7 8 9 10 am Game 11 12 13 14 15 16 17 18 19 20 21 22 Check List Check List Check List 23 24 25 26 27 28 HOT CLICK 29 30 [ INTERVIEW ] Q Q Q Q Q 31 32 33 34 35 36 37 38 39 40 41 www.khgames.co.kr

More information