< C0CCC1D6C8EF2DBACEBAD020C0E7B1B8BCBAC0BB20C0CCBFEBC7D12E687770>

Size: px
Start display at page:

Download "< C0CCC1D6C8EF2DBACEBAD020C0E7B1B8BCBAC0BB20C0CCBFEBC7D12E687770>"

Transcription

1 Journal of the Korea Academia-Industrial cooperation Society Vol. 18, No. 1 pp , ISSN / eissn 부분재구성을이용한노이즈영상의경계선검출시스템 윤일중 1, 정희원 1, 김승종 2, 민병석 3, 이주흥 1* 1 홍익대학교전자전산공학과, 2 한양여자대학교컴퓨터정보과, 3 충청대학교전자컴퓨터학부 Edge Detection System for Noisy Video Sequences Using Partial Reconfiguration Il-Jung Yoon 1, Hee-Won Joung 1, Seung-Jong Kim 2, Byong-Seok Min 3, Joo-Heung Lee 1* 1 Department of Electronics and Computer Engineering, Hongik University 2 Department of Computer Science & Information System, Hanyang Women's University 3 Department of Digital Electronic Communications, Chung Cheong University 요약본논문에서는 Zynq SoC 플랫폼을사용하여노이즈영상의경계선검출및노이즈감소를위한부분재구성시스템을설계한다. 실시간 1080p 영상시퀀스의처리를위한높은연산량을제공하기위해재구성이가능한 Programmable Logic 영역을사용하고하드웨어필터를구현한다. 또한하드웨어필터들은부분재구성가능한영역을활용한자동재구성기능을통해제한된환경의임베디드시스템에서더욱더효과적으로하드웨어자원활용을가능하게한다. 주어진한계점을넘는잡음을포함한입력영상의경우적응적노이즈제거를위한필터링연산을하드웨어에자동재구성하여수행함으로써제안된시스템은향상된경계선검출결과를보여주고있다. 제안하는시스템을사용하여영상시퀀스의잡음밀도에따라영상처리필터의 bitstream 이스스로재구성되었을때경계선검출의정확도에대한결과가향상된것을 (14~20 배 PFOM) 구현결과에서보여준다. 또한, ZyCAP 을사용하여구현한경우 2.1배빠르게부분재구성함을확인하였다. Abstract In this paper, the Zynq system-on-chip (SoC) platform is used to design an adaptive noise reduction and edge-detection system using partial reconfiguration. Filters are implemented in a partially reconfigurable (PR) region to provide high computational complexity in real-time, 1080p video processing. In addition, partial reconfiguration enables better utilization of hardware resources in the embedded system from autonomous replacement of filters in the same PR region. The proposed edge-detection system performs adaptive noise reduction if the noise density level in the incoming video sequences exceeds a given threshold value. Results of implementation show that the proposed system improves the accuracy of edge-detection results (14~20 times in Pratt's Figure of Merit) through self-reconfiguration of filter bitstreams triggered by noise density level in the video sequences. In addition, the ZyCAP controller implemented in this paper enables about 2.1 times faster reconfiguration when compared to a PCAP controller. Keywords : Edge Detection, Partial Reconfiguration, Pratt s FOM, Salt-and-pepper noise, Zynq SoC 이논문은 2016학년도홍익대학교학술연구진흥비에의하여지원되었음. * Corresponding Author : Joo-Heung Lee(Hongik Univ.) Tel: joolee@hongik.ac.kr Received October 5, 2016 Accepted January 6, 서론 영상의경계선검출은처리해야할정보의양을줄여주면서중요한구조적정보를유지시켜주기때문에물체검출, 얼굴인식, 패턴인식등여러분야에서적용되고있으며, 인식및검출에관련한대부분의영상전처리 Revised November 11, 2016 Published January 31, 2017 과정으로활용되고있다. 그러나영상이 salt-pepper 잡음에훼손되었을경우경계선검출의성능이급격하게저하된다. 이러한문제점을방지하기위하여미디언필터 (median filter) 와같은잡음제거필터들이경계선검출전전처리과정으로활용된다. 최근의영상처리시스템의기반이고속, 고성능의컴퓨터에서휴대폰이나 21

2 한국산학기술학회논문지제 18 권제 1 호, 2017 Fig. 1. Zynq SoC Architecture SoC (System on Chip) 를탑재한소형임베디드환경으로이동함에따라많은제약사항을고려한설계가이루어지고있다. 특히많은데이터를실시간처리해야하는영상정보의경우, CPU를활용한소프트웨어처리는매우많은클럭을소모하기때문에, 이의효과적인연산을위하여 FPGA (Field- Programmable Gate Array) 와같은하드웨어를이용하여처리함으로서각응용에최적화된병렬처리구조와파이프라이닝을통해저속의동작주파수에서도영상정보의실시간처리가가능하도록시스템레벨에서의설계가이루어지고있다 [1]. 이전에 FPGA와고성능의 ARM Dual-core Cortex-A9 프로세서를탑재한 Zynq SoC 플랫폼을이용하여영상의고주파에지성분을추출하기위한경계선검출필터를구현하였고 [2], 이를바탕으로적응적신호처리를위한복수의영상처리필터들이 floorplanning을통해지정된하드웨어영역을함께사용하도록설계함으로써, 공통된하드웨어자원을활용하여여러개의영상처리알고리즘들을필요에따라실시간선택하여사용할수있는 PR (Partial Reconfiguration) 시스템을구현하였다. 본논문에서는 Zynq SoC 플랫폼을이용하여영상의잡음을제거한후경계선성분을추출하는필터를구현한다. 또한, 영상에존재하는잡음의정도에따라노이즈 제거를위한필터를자동재구성하여연산하는시스템을구현한다. 이를통하여임베디드환경에서제한적인하드웨어자원을더욱효과적으로활용할수있으며, 지금까지의연구방향은사용자의선택에따른수동적재구성을전제로이루어져왔지만본논문에서제안한방법은필터를입력신호의특성을고려하여스스로재구성함으로써잡음에의해훼손된영상이입력될경우이에따른실시간대응이가능하여효과적인경계선검출을수행할수있다. 2장에서는 Zynq SoC Platform에대한설명과필터의자동재구성을위해제안된알고리즘을기술한다. 3장에서는실험결과를기술하고, 4장에서결론을서술한다. 2. 본론 2.1 Zynq SoC Zynq SoC Platform 성능이낮은프로세서를지원하는기존의 FPGA 와는다르게 reconfigurable SoC platform을대표하는 Zynq-7000 AP SoC는 ARM 프로세서와 Xilinx 22

3 부분재구성을이용한노이즈영상의경계선검출시스템 7-Series 의 FPGA가결합된 hybrid FPGA platform 이다 [3]. Fig. 1에서보여주고있는 Zynq 내부구조는 PS 와 PL로구성되어있다. PS는 UART, USB, CAN, SPI, I2C 와같은다양한 built-in peripherals 과 ARM dual-core Cortex-A9 MP core, caches, DMA Controller 로구성되어있고 PL은다음과같은자원을가지고있다 : Configurable Logic Blocks (CLB), Digital Signal Processing (DSP) Blocks, Analog-to-Digital converters, serial transceivers. Advanced Microcontroller Bus Architecture (AMBA) 는 PL과 PS 그리고 IP (Intellectual Property) 의원활한연결을위하여 SoC 설계에사용되는 bus protocol이다 [4]. AMBA의대표적인 bus interface는다음과같다 : Advanced Peripheral Bus (APB), Advanced High Performance Bus (AHB) and Advanced extensible Interface (AXI). 특히 AMBA 3.0 Spec 에속해있는 AXI는 write response channel 이추가되어있고, read/write가동시에가능하여 SoC의고속동작을지원한다. 다양한종류의 AXI port가 PS와 PL의통신에관여하고종류는다음과같다 : 32bit General-Purpose (GP) master/slave interface, 32bit/64bit High-Performance interface, 64bit Application Processor Unit interface. AMBA 기반의마이크로컨트롤러는일반적으로 AXI나 AHB를시스템버스로사용하여 ARM CPU나 DMA 등을연결하여사용한다. 또한저속이면서저전력주변장치와의통신을위하여 APB를사용하며, AXI나 AHB에브리지 (Bridge) 를사용하여연결한다. 의 interface를지원한다 : PL 스스로재구성하는방식의 Internal Configuration Access Port (ICAP), PS 영역에서재구성하는 Processor Configuration Access Port (PCAP)[10]. ICAP과 PCAP은 32-bits의 data width를가지고이론적으로최대 400MB/s의 reconfiguration throughput을지원한다. 본논문에서는 PCAP을사용하여 full bitstream과 partial bitstream 파일을 PL로전송하며그과정을 Fig. 2에서보여주고있다. 외부메모리저장소인 SD 카드에서받은 First Stage Boot Loader (FSBL) 는 PS를 boot 시키고 full bitstream을읽어서 PL 의 Static Logic에다운로드한다. 그리고 SD 카드에바이너리파일형식으로저장되어있는 partial bitstream은 PS가접근가능한 DDR Memory로옮겨지고 PR이진행되면필요한기능에해당하는 partial bitstream이 PL 의재구성가능한영역으로다운로드된다. 다운로드되는동안하드웨어의 Static Logic은동작을멈추지않는다 [11] Partial Reconfiguration (PR) Xilinx FPGA에서지원되는 PR은재구성이가능한하드웨어자원에서특정부분을 Partially Reconfigurable Region (PRR) 으로재정의하여미리설계된하드웨어비트스트림을다운로드하여사용할수있도록지원한다. 다양한기능의비트스트림들을정의된 PRR에실시간으로재사용하여하드웨어자원을효과적으로활용할수있으며이를통한전력및비용감소를얻을수있다 [5-9]. 기존의 full configuration의주된문제점인 configuration overhead는 full bitstream 보다용량이작은 partial bitstream을 PRR에다운로드함으로써재구성에필요한시간을단축시킬수있다. 하드웨어 Bitstream 을 PL 영역으로전달하기위해 Zynq device는두타입 Fig. 2. PR interface using PCAP 2.2 제안알고리즘 1080p 해상도의비디오영상에서물체의경계선을실시간으로추출하기위해 PL 영역에서 Sobel filter를구현하였다. Fig. 3은각각 2차원형태의수직, 수평 Sobel 연산자를보여주며, 입력된영상의모든픽셀에컨벌루션되어픽셀값을계산한다. 는 (x,y) 지점에서의픽셀값을의미한다 [12]. 23

4 한국산학기술학회논문지제 18 권제 1 호, 2017 (7) (a) (b) Fig. 3. Sobel Operator (a) Vertical Operator (b) Horizontal Operator (1) (2) 식 (1) 과 (2) 는 (x,y) 지점에서의수직연산자와수평연산자의컨벌루션을나타내며, 기울기의크기와방향은아래의식과같이나타낸다. (3) (4) 경계선검출은위에서정의한 Sobel 연산을통하여검출되지만만약영상을촬영하는센서에결함이발생하거나영상을전송하는과정에서 bit error가발생하여 Salt-and-Pepper와같은 noise가영상에손상을입히게되면경계선검출필터의성능이크게감소하게된다. 본논문에서는 [13] 에서제안된 noise 검출알고리즘을구현하였으며, noise 검출알고리즘은다음과같다. 는 지점의픽셀값이며, 3x3 window에서중앙에위치하게된다. 과 는각각 3x3 window에서의최소값과최대값이며, threshold 값의최소값인 과최대값인 는다음과같이정의된다. (5) (6) 식 (7) 은 가 noise에의해손상된픽셀인지아닌지를판별하는기준으로사용된다. 검출된 noise 픽셀의총수를영상의전체픽셀수로나눈값이주어진영상프레임의 noise 밀도가된다. 영상이 Salt-and-pepper noise에의해손상되면경계선검출성능이많이감소하게되며, 경계선검출성능의감소를막기위해서 noise를제거하는 Median filter를구현하였다. Median filter는식 (8) 의정의와같다. (8) window W안의픽셀들의 median 값은출력값으로선택된다 [14]. Salt-and-pepper noise에의해손상된영상에서효과적인경계선검출을실행하기위해서본논문에서는 Median filter를전처리과정으로사용하여 noise를감소시킨후 Sobel filter를실행 ( 이후에는 Median+Sobel filter로표기 ) 하는 self-reconfiguration 방법을제안하여경계선검출의효율성을높이고자한다. 경계선검출의성능을평가하기위해제안된 Pratt s Figure of Merit (FOM) 을사용하여경계선검출에방해가되는 noise 밀도의기준점을결정하는데사용하였다. Noise에의해경계선검출의정확도가감소하게되면, 필터영역이재구성되어 noise를감소시킨후에경계선검출필터가다시실행되게된다. Pratt s FOM은다음과같이정의된다. 식 (9) 에서 이며, 는경계선이라고정의되는픽셀의총수이며, 는실제로검출된경계선픽셀의총수이다. 는 1/9로정의된값이며, 는검출된경계선과정의된경계선사이의거리값이다 [15]. (9) 24

5 부분재구성을이용한노이즈영상의경계선검출시스템 한 Partial bit file 을생성한다. PCAP 인터페이스를통한 PR을수행하기위해 partial bit file 또한 SD card에저장한다. Fig. 4. Video pipeline and noise detection task Fig. 4는 video pipeline 과 noise detection task를보여준다. HDMI-IN으로 1080p 해상도의영상이들어오면 DDR memory에저장된다. Noise density level detection 블록의결과에따라 Median+Sobel filter가부분재구성되며, 부분재구성과정은 PS에의해실행된다. 만약 noise density가한계점보다높게측정되면 Median+Sobel bitstream이 Partially Reconfigurable Region (PRR) 에다운로드되어기존의 Sobel bitstream 을교체하게된다. 필터링을거친영상은 display controller를통해 HDMI-OUT으로출력된다. Fig. 5. Experimental environment 3. 실험결과실험에사용되는장비는 XC7Z020 CLG484-1 AP SoC를장착한 ZC702 Evaluation board와 ADV7611/ ADV7511 기반의 HDMI Input/Output을지원하는 FMC Module, 그리고 1920X1080 해상도를지원하는 monitor가있다. UART Terminal Emulator를사용하여 Board를제어한다 [16]. Fig. 5는본논문에서제안하는재구성가능한경계선검출시스템의실험환경이다. 실험에사용하는보드를부팅하기위해서다음과같은파일들을 SD 카드에포함한다. Software Development Kit (SDK) tool을사용하여생성된 FSBL, Vivado에서생성한 full bit file 그리고 U-boot가결합된 BOOT 바이너리파일을생성하고리눅스운영체제를보드에지원해주는압축된커널이미지인 uimage 또한생성한다 [17]. 리눅스파일시스템을사용하기위한 uramdisk와 PL영역을재구성하기위 실험에사용하는 Sobel 필터와 Median+Sobel 필터는 High-Level Synthesis (HLS) tool을사용하여생성된다 [18][19]. HLS는 C언어또는 C++ 언어를 Register Transfer Level (RTL) 로구성된 Verilog 또는 VHDL 언어로변경하고 IP core를생성한다. 또한 tool의 GUI 인터페이스를통하여 pipeline 기능을제공한다. HLS를사용하여생성된필터들은필터 processing, 경계성검출 processing, 그리고비디오영상의입출력제어를위한버스인터페이스세종류의기능으로구성되어있다. IP core 합성결과, pipeline이적용된경계선검출기능의 latency는 9 clock cycle이소모되었고모든기능을사용하기위한 processing time은 2,059 clock cycle이다. Vivado Integrated Design Environment (IDE) 는 Xilinx Integrated Synthesis Environment (ISE) 와 Xilinx Platform Studio (XPS) 을지원하는개발 tool이며 HDL 디자인을분석하거나합성하는기능을지원한다. 25

6 한국산학기술학회논문지제 18 권제 1 호, 2017 Fig. 6은 bitstream 생성의전체적인과정이다. HLS에의해생성된필터 IP core와전체시스템의 HDL 디자인이합성된다. 이과정에서재구성가능한영역인 PRR의하드웨어자원이 90% 이하로사용되도록설정한다. Table 1. Comparison of PRR and PRMs resources Resources PRR PRMs Available SOBEL M+S LUT (36.8%) 3478(44.6%) SLICE (75.3%) 1220(87.1%) RAMB (7.5%) 6(15.0%) DSPs 40 0(0%) 0(0%) Table 2. Bitstream configuration time Full Bitstream Partial Bitstream Bitstream Size 4,045,564 Byte 460,544 Byte PCAP Configuration Time 83ms 10ms ZyCAP Configuration Time - 4.7ms Fig. 7과같이 Median+Sobel의 PRM은기존의 Sobel 필터에서 median 필터의기능이추가되어 Sobel의 PRM 보다많은하드웨어자원을사용하고있음을 Table 1에서보여주고있다. 시스템의 Implementation과 bitstream의생성을마치게되면결과적으로 full bitstream 하나와 partial bitstream 두개가생성된다. PL 영역에처음으로다운로드되는 full bitstream은 static logic과 Sobel filter를포함하고있다. 비디오영상에서일정수치이상의 salt-pepper 노이즈가발생할경우 Median+Sobel filter 의기능을가진 partial bitstream 파일이 PCAP 인터페이스를통하여 PRR에다운로드된다. 만약일정수치보다낮은 salt-pepper 노이즈밀도로줄어들경우 Sobel filter의기능을수행하는 partial bitstream으로하드웨어가재구성된다. PR의사용으로인해서 bitstream의메모리용량과 PCAP configuration에소모되는시간또한감소되었음을 Table 2에서보여주고있다. 실시간영상처리에서중요한부분인 configuration time은 full bitstream의 configuration에사용되는시간의 12% 만을사용하여 Fig. 6. The procedure of bitstream generation Fig. 7. Static logic and PR modules 26

7 부분 재구성을 이용한 노이즈 영상의 경계선 검출 시스템 (a) (b) (c) (d) (e) (f) (g) (h) Fig. 8. Comparison of edge detection results for the noisy video sequences (a) Noisy video #1 (Salt-and-Pepper noise density : 20%) (b) Noisy video #2 (Salt-and-Pepper noise density : 20%) (c) Output of Sobel filter - original video #1 (d) Output of Sobel filter - original video #2 (e) Output of Sobel filter (noisy video #1) (f) Output of Sobel filter (noisy video #2) (g) Output of Median+Sobel filter (noisy video #1) (h) Output of Median+Sobel filter (noisy video #2) 27

8 한국산학기술학회논문지제 18 권제 1 호, 2017 (a) (b) (c) (d) Fig. 9. PFOMs for Sobel and Median+Sobel filters (a) Sobel filter with Video #1 (b) Sobel filter with Video #2 (c) Median+Sobel filter with Video #1 (d) Median+Sobel filter with Video #2 partial bitstream의 configuraiton이수행되었음을확인하였다. 기존 Xilinx에서제공하는 PR방식들보다좀더빠르게부분재구성을수행하는 ZyCAP은 AMBA Bus 의 HP Port를효과적으로사용하고기존재구성방식에서소프트웨어에의한 overhead를최소화하여 PR을수행하는방법이며, 오픈소스로제공된다 [20]. 현재플랫폼에적용하여재구성시간을측정한결과, 4.7ms 로서 PCAP보다 2.1배빠르게재구성함을확인하였다. 실험에사용한영상은 1920x1080의해상도를가지는두종류의 Full HD 비디오영상이며 Fig. 8의 Video #1 은 Elephants dream 이고 Video #2는 Soccer Game Goal 이다. Fig. 8-(a) 와 Fig. 8-(b) 는기존 Video #1 과 #2 가 20% 의 salt-and-pepper 노이즈밀도에의해훼손된영상이다. 훼손되지않은기존영상에대한 Sobel filter의경계선검출결과영상이 Fig. 8-(c) 와 Fig. 8-(d) 이다. 경계선검출의결과가매우깨끗함을알수있다. 반면에 Fig. 8-(a) 와 Fig. 8-(b) 의 Sobel filter의결과영상인 Fig. 8-(e) 와 Fig. 8-(f) 는경계선검출의성능이매우낮음을 PFOM을통해확인할수있다. Fig. 8-(g) 와 Fig. 8-(h) 는 Median+Sobel filter를거친결과영상이고 Sobel filter 만을사용한결과영상보다노이즈제거에탁월하고경계선검출성능도효과적인것을확인할수있다. Sobel filter 와 Median+Sobel filter의경계선검출능력의객관적인비교의지표로써 PFOM을사용하여판단한그래프가 Fig. 9이다. 5% 와 10% 의밀도를가지는 salt-and-pepper 노이즈에의해훼손된비디오영상인 Video #1과 #2를측정대상으로하고 frame 진행에따른 Sobel filter 와 Median+Sobel filter의결과영상에따른 PFOM 수치를측정하였다 [21][22]. Median+Sobel filter의 PFOM 수치가 Sobel filter 보다매우높게측정되어잡음에의해훼손된영상의경우보다효과적으로 28

9 부분재구성을이용한노이즈영상의경계선검출시스템 경계선검출을수행함을확인할수있다. Fig. 10의 CPU 사용량을보면 Sobel 필터의 SW 구현시 CPU를 100% 사용하고있으나 Full HD급의영상프레임이실제끊기는현상이발생하며, HW 구현시 CPU 로드를최소화하며실시간연산처리되는결과를확인할수있다. Fig. 10. CPU Usage 4. 결론본논문에서우리는적응적부분재구성시스템을이용하여경계선검출필터의성능을증가시키는방법을제안하였다. 필터영역을 FPGA에서하드웨어로구현하여 1080p 해상도영상의경계선검출에필요한연산능력을향상시켰다. 영상에 noise가검출되면이를처리하기위한다른하드웨어 bitstream이자동재구성되어손상된영상에서도경계선이효과적으로검출됨을확인할수있었다. 실험결과를보면부분적재구성이전체재구성에걸리는시간보다약 12% 감소함을확인할수있으며, Median+Sobel filter를사용한경우 PFOM 수치가 Sobel filter만사용한경우보다 14 20배정도향상됨을확인하였다. 이후연구진행방향은, 하드웨어의적응적재구성을더욱효과적으로지원할수있는시스템소프트웨어의설계와영상의높은잡음밀도에더욱강인한필터를설계구현하고자한다. References Fig. 11. Comparison of power consumption Xilinx Zynq SoC 하드웨어플랫폼의전력소모량을 Vivado Design Suite에서제공하는 Power Report를사용하여측정하였다 [23]. Fig. 11은각모드별소모되는전력량을측정한그래프이다. 하드웨어가재구성되기전의 non-pr 모드일때전력소모량은재구성이된후의전력소모량보다적다. 그리고 Sobel 모듈은 Median+Sobel 모듈보다더적은자원을사용하기때문에상대적으로적은전력을소모함을알수있다. [1] P. Greisen, M. Runo, P. Guillet, S. Heinzle, A. Smolic, H. Kaeslin and M. Gross, Evaluation and FPGE Implementation of Sparse Linear Solvers for Video Processing Applications, Circuits and Systems for Video Technology, IEEE, vol. 23, Issue: 8, pp , Feb DOI: [2] UG1165 (v2015.3), "Zynq-7000 All Programmable SoC: Embedded Design Tutorial", Xilinx, Nov [3] DS190(v1.8), Zynq-7000 All Programmable SoC Overview, Xilinx, May [4] UG585(v1.10), Zynq-7000 All Programmable SoC Technical Reference Manual, Xilinx, Feb [5] UG909(v2014.4), Vivado Design Suite User Guide Partial Reconfiguration, Xilinx, Nov [6] E, Stott, P. Sedcole, P. Y. K. Cheung, Fault tolerant methods for reliability in FPGAs, International Conference on Field Programmable Logic and Applications, pp , Sept DOI: [7] Naveed Imran, Ronald F. DeMara, Jooheung Lee, Jian Huang, Self-Adapting Resource Escalation for Resilient Signal Processing Architectures, Journal of Signal Processing Systems, vol. 77, no. 3, pp , Dec DOI: [8] C. Insaurralde, Reconfigurable computer architectures for dynamically adaptable avionics systems, IEEE Aerospace and Electronic Systems Magazine, vol. 30, pp , Sept

10 한국산학기술학회논문지제 18 권제 1 호, 2017 DOI: [9] UG909(v2014.4), Vivado Design Suite User Guide Partial Reconfiguration, Xilinx, Nov [10] Kizheppatt Vipin and Suhaib A. Fahmy, ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq, Embedded Systems Letters, IEEE, vol. 6, Issue: 3, pp , Sep DOI: [11] XAPP1159(v1.0), Christian Kohn, Partial Reconfiguration of a Hardware Accelerator on Zynq All Programmable SoC Devices, Xilinx, Jan [12] Soonjong Jin, Wonki Kim and Jechang Jeong, Fine Directional De-interlacing Algorithm Using Modified Sobel operation, Consumer Electronics, IEEE, vol.54, Issue: 2, pp , May DOI: [13] Pei-Yin Chen, Chih-Yuan Lien, and Yi-Ming Lin, A Real-time Image Denoising Chip, Circuits and Systems, ISCAS IEEE International Symposium on, pp , May DOI: [14] Chenglong Chen, Jiangqun Ni and Jiwu Huang, Blind Detection of Median Filtering in Digital Images: A Difference Domain based Approach, Image Processing, IEEE, vol. 22, Issue: 12, pp , Aug DOI: [15] William K. Pratt, Digital Image Processing, PIKS Inside, Third Edition, pp , Aug [16] XAPP1231 (v1.1), Christian Kohn, Partial Reconfiguration of a Hardware Accelerator with Vivado Design Suite for Zynq-7000 Ap SoC Processor, Xilinx, Mar [17] UG821 (v12.0), "Zynq7000 All Programmable SoC Software Developers Guide, Xilinx, Sep [18] XAPP890 (v1.0), Fernando Martinez Vallina, Christian Kohn, and Pallav Joshi, Zynq All Programmable SoC Sobel Filter Implementation Using the Vivado HLS Tool, Xilinx, Sep [19] UG902 (v2015.4), Vivado Design Suite User Guide : High-Level Synthesis, Xilinx, Nov [20] Kizheppatt Vipin and Suhaib A. Fahmy, "ZyCAP: Efficient Partial Reconfiguration Management on the Xilinx Zynq", IEEE Embedded Systems Letters, vol. 6, Issue : 3, Mar DOI: [21] Ikram E. Abdou and William K. Pratt, Quantitative design and evaluation of enhancement/thresholding edge detectors, Proceedings of the IEEE, vol. 67, no. 5, pp , May DOI: [22] J.-A. Jiang, C.-L. Chuang, Y.-L. Lu and C.-S. Fahn, Mathematical-morphology-based edge detectors for detection of thin edges in low-contrast regions, Image Processing, IET, vol. 1, no.3 pp , Sep DOI: [23] UG907(v2015.4), "Vivado Design Suite User Guide: Power Analysis and Optimization", Xilinx, Nov 윤일중 (Il-Jung Yoon) [ 준회원 ] < 관심분야 > 영상처리, 임베디드시스템 2014 년 2 월 : 홍익대학교전자전기공학과졸업 2014 년 3 월 ~ 현재 : 홍익대학교일반대학원전자전산공학과석사과정 정희원 (Hee-Won Joung) [ 준회원 ] < 관심분야 > 임베디드시스템, IoT 2014 년 2 월 : 홍익대학교전자전기공학과졸업 2014 년 3 월 ~ 현재 : 홍익대학교일반대학원전자전산공학과석사과정 김승종 (Seung-Jong Kim) [ 종신회원 ] 1994 년 2 월 : 한양대학교대학원전자통신공학과 ( 공학석사 ) 2000 년 8 월 : 한양대학교대학원전자통신공학과 ( 공학박사 ) 2000 년 3 월 ~ 2000 년 8 월 : ( 주 )VI 선임연구원 2000 년 9 월 ~ 현재 : 한양여자대학교컴퓨터정보과교수 < 관심분야 > 멀티미디어영상처리, 디지털통신및신호처리 30

11 부분재구성을이용한노이즈영상의경계선검출시스템 민병석 (Byong-Seok Min) [ 정회원 ] 1990 년 2 월 : 한양대학교전자통신공학과졸업 ( 공학사 ) 1992 년 6 월 : 한양대학교대학원전자통신공학과졸업 ( 공학석사 ) 2002 년 6 월 : 한양대학교대학원전자통신공학과졸업 ( 공학박사 ) 1995 년 3 월 ~ 현재 : 충청대학교전자통신전공교수 < 관심분야 > 영상처리, 임베디드시스템 이주흥 (Joo-Heung Lee) [ 정회원 ] 1998년 2월 : 한양대학교대학원전자통신공학과 ( 공학석사 ) 2006년 8월 : 펜실베니아주립대학교전자공학과 ( 공학박사 ) 2006년 9월 ~ 2011년 8월 : 센트럴플로리다주립대학교전자전산공학과조교수 2011년 9월 ~ 현재 : 홍익대학교전자전기공학과부교수 < 관심분야 > 영상처리, 임베디드시스템, SoC 설계 (System on a Chip) 31

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015) ISSN

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015)   ISSN (JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, 2015 11 (JBE Vol. 20, No. 6, November 2015) http://dx.doi.org/10.5909/jbe.2015.20.6.880 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) Frame

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte 스마트폰을 위한 A/V 신호처리기술 편집위원 : 김홍국 (광주과학기술원) 스마트폰에서의 영상처리를 위한 GPU 활용 박인규, 최호열 인하대학교 요 약 본 기고에서는 최근 스마트폰에서 요구되는 다양한 멀티미 디어 어플리케이션을 embedded GPU(Graphics Processing Unit)를 이용하여 고속 병렬처리하기 위한 GPGPU (General- Purpose

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

ch3.hwp

ch3.hwp 미디어정보처리 (c) -4 한남대 정보통신멀티미디어학부 MCCLab. - -...... (linear filtering). Z k = n i = Σn m Σ j = m M ij I ji 컨볼루션 영역창 I I I I 3 I 4 I 5 I 6 I 7 I 8 x 컨볼루션 마스크 M M M M 3 M 4 M 5 M 6 M 7 M 8 I 입력 영상 Z 4 = 8 k

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Nov.; 25(11), 11351141. http://dx.doi.org/10.5515/kjkiees.2014.25.11.1135 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

<3031B0ADB9CEB1B82E687770>

<3031B0ADB9CEB1B82E687770> ISSN 1598-0170 (Print) ISSN 2287-1136 (Online) http://www.jksii.or.kr 다채널 멀티미디어 전송용 임베디드 Audio Video Bridging 플랫폼 설계 및 구현 Design and Implementation of an Embedded Audio Video Bridging Platform for Multichannel

More information

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770>

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 2 pp. 866-871, 2012 http://dx.doi.org/10.5762/kais.2012.13.2.866 증강현실을 이용한 아동교육프로그램 모델제안 권미란 1*, 김정일 2 1 나사렛대학교 아동학과, 2 한세대학교 e-비즈니스학과

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

À¯Çõ Ãâ·Â

À¯Çõ Ãâ·Â Network Virtualization Techniques for Future Internet Services in cloud computing are based on network virtualization that provides both flexibility and network isolation. Network virtualization consists

More information

Voice Portal using Oracle 9i AS Wireless

Voice Portal using Oracle 9i AS Wireless Voice Portal Platform using Oracle9iAS Wireless 20020829 Oracle Technology Day 1 Contents Introduction Voice Portal Voice Web Voice XML Voice Portal Platform using Oracle9iAS Wireless Voice Portal Video

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

???? 1

???? 1 The Korean Journal of Applied Statistics (2014) 27(1), 13 20 DOI: http://dx.doi.org/10.5351/kjas.2014.27.1.013 Maximum Tolerated Dose Estimation by Stopping Rule and SM3 Design in a Phase I Clinical Trial

More information

Software Requirrment Analysis를 위한 정보 검색 기술의 응용

Software Requirrment Analysis를 위한 정보 검색 기술의 응용 EPG 정보 검색을 위한 예제 기반 자연어 대화 시스템 김석환 * 이청재 정상근 이근배 포항공과대학교 컴퓨터공학과 지능소프트웨어연구실 {megaup, lcj80, hugman, gblee}@postech.ac.kr An Example-Based Natural Language System for EPG Information Access Seokhwan Kim

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선 Point Operation Histogram Modification 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 HISTOGRAM HISTOGRAM MODIFICATION DETERMINING THRESHOLD IN THRESHOLDING 2 HISTOGRAM A simple datum that gives the number of pixels that a

More information

I

I I II III (C B ) (C L ) (HL) Min c ij x ij f i y i i H j H i H s.t. y i 1, k K, i W k C B C L p (HL) x ij y i, i H, k K i, j W k x ij y i {0,1}, i, j H. K W k k H K i i f i i d ij i j r ij i j c ij r ij

More information

<30312DC1A4BAB8C5EBBDC5C7E0C1A4B9D7C1A4C3A52DC1A4BFB5C3B62E687770>

<30312DC1A4BAB8C5EBBDC5C7E0C1A4B9D7C1A4C3A52DC1A4BFB5C3B62E687770> Journal of the Korea Institute of Information and Communication Engineering 한국정보통신학회논문지(J. Korea Inst. Inf. Commun. Eng.) Vol. 19, No. 2 : 258~264 Feb. 2015 ID3 알고리즘 기반의 귀납적 추론을 활용한 모바일 OS의 성공과 실패에 대한

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jul.; 27(7), 625634. http://dx.doi.org/10.5515/kjkiees.2016.27.7.625 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Near-Field

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Mar.; 25(3), 304310. http://dx.doi.org/10.5515/kjkiees.2014.25.3.304 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

08김현휘_ok.hwp

08김현휘_ok.hwp (Regular Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.369 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) An Audio Coding Technique Employing the Inter-channel

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 876 884. http://dx.doi.org/10.5515/kjkiees.2015.26.10.876 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp RUF * (A Simple and Efficient Antialiasing Method with the RUF buffer) (, Byung-Uck Kim) (Yonsei Univ. Depth of Computer Science) (, Woo-Chan Park) (Yonsei Univ. Depth of Computer Science) (, Sung-Bong

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

07변성우_ok.hwp

07변성우_ok.hwp 2 : (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.631 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a), b) Metadata Management System Implementation

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

07.045~051(D04_신상욱).fm

07.045~051(D04_신상욱).fm J. of Advanced Engineering and Technology Vol. 1, No. 1 (2008) pp. 45-51 f m s p» w Á xá zá Ÿ Á w m œw Image Retrieval Based on Gray Scale Histogram Refinement and Horizontal Edge Features Sang-Uk Shin,

More information

Microsoft Word - 1-차우창.doc

Microsoft Word - 1-차우창.doc Journal of the Ergonomics Society of Korea Vol. 28, No. 2 pp.1-8, May 2009 1 하이브리드 환경하의 인간기계시스템 제어실 평가에 관한 연구 차 우 창 김 남 철 금오공과대학교 산업시스템공학과 A Study of the Evaluation for the Control Room in Human Machine

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Mar.; 30(3), 223 228. http://dx.doi.org/10.5515/kjkiees.2019.30.3.223 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Analysis

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

878 Yu Kim, Dongjae Kim 지막 용량수준까지도 멈춤 규칙이 만족되지 않아 시행이 종료되지 않는 경우에는 MTD의 추정이 불가 능하다는 단점이 있다. 최근 이 SM방법의 단점을 보완하기 위해 O Quigley 등 (1990)이 제안한 CRM(Continu

878 Yu Kim, Dongjae Kim 지막 용량수준까지도 멈춤 규칙이 만족되지 않아 시행이 종료되지 않는 경우에는 MTD의 추정이 불가 능하다는 단점이 있다. 최근 이 SM방법의 단점을 보완하기 위해 O Quigley 등 (1990)이 제안한 CRM(Continu 한 국 통 계 학 회 논 문 집 2012, 19권, 6호, 877 884 DOI: http://dx.doi.org/10.5351/ckss.2012.19.6.877 Maximum Tolerated Dose Estimation Applied Biased Coin Design in a Phase Ⅰ Clinical Trial Yu Kim a, Dongjae Kim

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 26(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 26(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Dec.; 26(12), 1100 1107. http://dx.doi.org/10.5515/kjkiees.2015.26.12.1100 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

1. 3DTV Fig. 1. Tentative terrestrial 3DTV broadcasting system. 3D 3DTV. 3DTV ATSC (Advanced Television Sys- tems Committee), 18Mbps [1]. 2D TV (High

1. 3DTV Fig. 1. Tentative terrestrial 3DTV broadcasting system. 3D 3DTV. 3DTV ATSC (Advanced Television Sys- tems Committee), 18Mbps [1]. 2D TV (High 3DTV a), a) Dual Codec Based Joint Bit Rate Control Scheme for Terrestrial Stereoscopic 3DTV Broadcast Yongjun Chang a) and Munchurl Kim a) 3 3 (3DTV). ATSC 18Mbps. 3D, 2DTV,. 3DTV. - (quadratic rate-quantization

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 978 984. http://dx.doi.org/10.5515/kjkiees.2015.26.11.978 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Transceiver

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE May; 27(5),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE May; 27(5), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 May; 27(5), 454462. http://dx.doi.org/10.5515/kjkiees.2016.27.5.454 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Research

More information

디지털포렌식학회 논문양식

디지털포렌식학회 논문양식 ISSN : 1976-5304 http://www.kdfs.or.kr Virtual Online Game(VOG) 환경에서의 디지털 증거수집 방법 연구 이 흥 복, 정 관 모, 김 선 영 * 대전지방경찰청 Evidence Collection Process According to the Way VOG Configuration Heung-Bok Lee, Kwan-Mo

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 26(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 26(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 215 Mar.; 26(3), 248 256. http://dx.doi.org/1.5515/kjkiees.215.26.3.248 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Metal

More information

08 조영아.hwp

08 조영아.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 6370. http://dx.doi.org/10.5515/kjkiees.2015.26.1.63 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) 900 MHz

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074>

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074> 아날로그및파워 IC 워크샵 저전력아날로그 IC 설계기술 서강대학교전자공학과안길초 Contents 2 1 2 Introduction Low-Power Design Techniques 3 Conclusions 1. Introduction 3 Why Low-Power? (1) 4 Increasing demand for mobile applications Longer

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

06_ÀÌÀçÈÆ¿Ü0926

06_ÀÌÀçÈÆ¿Ü0926 182 183 184 / 1) IT 2) 3) IT Video Cassette Recorder VCR Personal Video Recorder PVR VCR 4) 185 5) 6) 7) Cloud Computing 8) 186 VCR P P Torrent 9) avi wmv 10) VCR 187 VCR 11) 12) VCR 13) 14) 188 VTR %

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 8, Aug [3]. ±90,.,,,, 5,,., 0.01, 0.016, 99 %... 선형간섭

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 8, Aug [3]. ±90,.,,,, 5,,., 0.01, 0.016, 99 %... 선형간섭 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Aug.; 27(8), 693700. http://dx.doi.org/10.5515/kjkiees.2016.27.8.693 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Design

More information

14.531~539(08-037).fm

14.531~539(08-037).fm G Journal of the Korea Concrete Institute Vol. 20, No. 4, pp. 531~539, August, 2008 š x y w m š gj p { sƒ z 1) * 1) w w Evaluation of Flexural Strength for Normal and High Strength Concrete with Hooked

More information

<38305FC0B1C3A2BCB12D4D41544C41422C2053696D756C696E6BB8A620C0CCBFEBC7D12E687770>

<38305FC0B1C3A2BCB12D4D41544C41422C2053696D756C696E6BB8A620C0CCBFEBC7D12E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 17, No. 1 pp. 693-699, 2016 http://dx.doi.org/10.5762/kais.2016.17.1.693 ISSN 1975-4701 / eissn 2288-4688 MATLAB/Simulink를 이용한 화력발전소 복수펌프

More information

10 노지은.hwp

10 노지은.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2013 DEC.; 24(12), 1190 1197. http://dx.doi.org/10.5515/kjkiees.2013.24.12.1190 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

Journal of Educational Innovation Research 2018, Vol. 28, No. 1, pp DOI: * A Analysis of

Journal of Educational Innovation Research 2018, Vol. 28, No. 1, pp DOI: * A Analysis of Journal of Educational Innovation Research 2018, Vol. 28, No. 1, pp.99-117 DOI: http://dx.doi.org/10.21024/pnuedi.28.1.201803.99 2015 * A Analysis of the Characters and Issues about the 2015 Revised Social

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 16 Jul.; 27(7), 64662. http://dx.doi.org/./kjkiees.16.27.7.646 ISSN 1226-3133 (Print)ISSN 2288-226 (Online) 2D Microwave Image

More information

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P 1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.341

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 28(2),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 28(2), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Feb.; 28(2), 129138. http://dx.doi.org/10.5515/kjkiees.2017.28.2.129 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) PT- Doppler

More information

±è¼ºÃ¶ Ãâ·Â-1

±è¼ºÃ¶ Ãâ·Â-1 Localization Algorithms Using Wireless Communication Systems For efficient Localization Based Services, development of accurate localization algorithm has to be preceded. In this paper, research trend

More information

<313430333033C6AFC1FD28C3E0B1B8292E687770>

<313430333033C6AFC1FD28C3E0B1B8292E687770> 스포츠와 물리학: 구기운동 안티-싸커 와 간접-축구 DOI: 10.3938/PhiT.23.005 이 인 호 Anti-soccer and Indirect Soccer 편성은 없다고 장담한다. 벨기에(FIFA 랭킹 11위), 러시아 (FIFA 랭킹 22위), 알제리(FIFA 랭킹 26위), 그리고 한국(FIFA 랭킹 61위)으로 이어지는 H조 편성 결과이다. 이

More information

012임수진

012임수진 Received : 2012. 11. 27 Reviewed : 2012. 12. 10 Accepted : 2012. 12. 12 A Clinical Study on Effect of Electro-acupuncture Treatment for Low Back Pain and Radicular Pain in Patients Diagnosed with Lumbar

More information

±èÇö¿í Ãâ·Â

±èÇö¿í Ãâ·Â Smartphone Technical Trends and Security Technologies The smartphone market is increasing very rapidly due to the customer needs and industry trends with wireless carriers, device manufacturers, OS venders,

More information

<30382E20B1C7BCF8C0E720C6EDC1FD5FC3D6C1BEBABB2E687770>

<30382E20B1C7BCF8C0E720C6EDC1FD5FC3D6C1BEBABB2E687770> 정보시스템연구 제23권 제1호 한국정보시스템학회 2014년 3월, pp. 161~184 http://dx.doi.org/10.5859/kais.2014.23.1.161 베이비붐세대의 디지털라이프 지수* 1) 권순재**, 김미령*** Ⅰ. 서론 Ⅱ. 기존문헌 연구 2.1 베이비붐세대의 현황과 특성 2.2 베이비붐의 세대이 정보화 연구 Ⅲ. 연구내용 및 방법 Ⅳ.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

???? 1

???? 1 The Korean Journal of Applied Statistics (2013) 26(1), 201 208 DOI: http://dx.doi.org/10.5351/kjas.2013.26.1.201 A Note on Model Selection in Mixture Experiments with Process Variables Jung Il Kim a,1

More information

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp 보안공학연구논문지 Journal of Security Engineering Vol.11, No.4 (2014), pp.299-312 http://dx.doi.org/10.14257/jse.2014.08.03 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발 이강찬 1), 이승윤 2), 양희동 3), 박철우 4) Development of Service

More information

Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp DOI: NCS : * A Study on

Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp DOI:   NCS : * A Study on Journal of Educational Innovation Research 2018, Vol. 28, No. 3, pp.157-176 DOI: http://dx.doi.org/10.21024/pnuedi.28.3.201809.157 NCS : * A Study on the NCS Learning Module Problem Analysis and Effective

More information

1. KT 올레스퀘어 미디어파사드 콘텐츠 개발.hwp

1. KT 올레스퀘어 미디어파사드 콘텐츠 개발.hwp Journal of Next-generation Convergence Information Services Technology Vol.4, No.1, June (2015), pp. 1-8 차세대컨버전스정보서비스기술논문지 KT 올레스퀘어 미디어파사드 콘텐츠 개발 Media Fasade Contents Development of KT Olleh Square 김동조

More information

ARM01

ARM01 0 1 Chapter 1.1 1.2 1.3 1.4 1.5 ARM System Developer s guide 32, ARM., ARM,,,. ARM 1985, ARM1, 2001 20 ARM. ARM,., ARM,., ARM ARM7TDMI, 120 Dhrystone MIPS 1),. ARM7TDMI. ARM, RISC(Reduced Instruction Set

More information

Á¶Áø¼º Ãâ·Â-1

Á¶Áø¼º Ãâ·Â-1 FMC Service Case Analysis: UMA and Femtocell In this paper, we explain UMA(Unlicensed Mobile Alliance) and Femtocell as a key driving factor for FMC(Fixed Mobile Convergence) and QPS(Quadruple Play Service).

More information

,. 3D 2D 3D. 3D. 3D.. 3D 90. Ross. Ross [1]. T. Okino MTD(modified time difference) [2], Y. Matsumoto (motion parallax) [3]. [4], [5,6,7,8] D/3

,. 3D 2D 3D. 3D. 3D.. 3D 90. Ross. Ross [1]. T. Okino MTD(modified time difference) [2], Y. Matsumoto (motion parallax) [3]. [4], [5,6,7,8] D/3 Depth layer partition 2D 3D a), a) 3D conversion of 2D video using depth layer partition Sudong Kim a) and Jisang Yoo a) depth layer partition 2D 3D. 2D (depth map). (edge directional histogram). depth

More information

02손예진_ok.hwp

02손예진_ok.hwp (JBE Vol. 20, No. 1, January 2015) (Special Paper) 20 1, 2015 1 (JBE Vol. 20, No. 1, January 2015) http://dx.doi.org/10.5909/jbe.2015.20.1.16 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) UHD MMT a),

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

Effects of baseball expertise and stimulus speeds on coincidence-anticipation timing accuracy of batting Jong-Hwa Lee, Seok-Jin Kim, & Seon-Jin Kim* Seoul National University [Purpose] [Methods] [Results]

More information

<30362E20C6EDC1FD2DB0EDBFB5B4EBB4D420BCF6C1A42E687770>

<30362E20C6EDC1FD2DB0EDBFB5B4EBB4D420BCF6C1A42E687770> 327 Journal of The Korea Institute of Information Security & Cryptology ISSN 1598-3986(Print) VOL.24, NO.2, Apr. 2014 ISSN 2288-2715(Online) http://dx.doi.org/10.13089/jkiisc.2014.24.2.327 개인정보 DB 암호화

More information