ºÎ·ÏB

Size: px
Start display at page:

Download "ºÎ·ÏB"

Transcription

1 B B.1 B.2 B.3 B.4 B.5

2 B.1 2 (Boolean algebra) An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole MIT Claude Sannon [SHAN38]. Shannon.. :. :,. (variable) (operation).. 1( : TRUE) 0( : FALSE). AND, OR, NOT, dot(.), (1) overbar( _ ). A AND B 5 A B A OR B 5 A 1 B NOT A 5 2 A AND 1 1. OR 1 1. (unary operation) NOT.. D 5 A 1 (B 2 C) A 1, B 0 C 1 D 1. D

3 B 0.. AND OR. AND dot. B C AND, A OR. A + B. C = A + (B. C) = A + BC B.1 (truth table).. B.1 XOR, NAND, NOR. XOR(exclusive-or) 1. NAND AND NOT, NOR OR NOT. A NAND B 5 NOT (A AND B) 5 2 AB A NOR B 5 NOT (A OR B) 5 A 1 B. B.2 (identity). AND OR (complementary), (dual). : ( 3

4 ),... A 1 (B C) 5 (A 1 B) (A 1 C) (DeMorgan s theorem),. A NOR B = 2 A AND 2 B A NAND B = 2 A OR 2 B B.2 A, B, C (1 0). B.2... AND, OR, NOT, NAND, NOR. B.2. (,, ). IEEE IEEE std 91. NOT (circle).., ( ). B.3. B.1 3, 4. X 1 Y 1 Z OR... (functionally complete set)... AND, OR, NOT AND, NOT OR, NOT NAND NOR 4

5 B AND, OR, NOT.. AND NOT AND NOT OR.. A 1 B 5 2 A 2 B A OR B 5 NOT((NOT A) AND (NOT B)) OR NOT AND. B.2 NAND AND, OR, NOT, B.3 NOR. NAND NOR.,.., 5

6 . B.3,., (gate delays). n 2 m 2.. : 2 n m. 6

7 B :. :... B.3. F 1 A, B, C. F 5 2 A BC A BC 1 ABC 2 (B.1) F 1, 1. (B.1) (Sum Of Products). B.4 AND, OR, NOT.. SOP 1 (true) 1. 0 true 1., F 5 (A 22 B 2 C ) (A 22 B C) (AB 22 C ) (AB 2 C) (ABC). (X Y Z) 5 2 X + 2 Y + 2 Z, F 5 (A B 1 C ) (A B 1 2 C ) (A B 1 C ) (A B 1 2 C ) (A B 1 2 C ) 7

8 5 (A 1 B 1 C) (A 1 B 1 C 2 ) (A 2 1 B 1 C) (A 2 1 B 1 C 2 ) (A 2 1 B 2 1 C 2 ) (B.2) (Product Of Sums), B.5., NOT.,.,., SOP POS SOP 1, POS 0.. SOP POS.. ( ).. (Algebraic simplification) (Karnaugh map) Quine-McKluskey B.2., (B.1). 8

9 B F 5 A 2 B 1 BC 2, F 5 B(A 2 1 C 2 ) B.6. (B.1) (observation).. (Karnaugh Map) (4 6 ). n 2 2 n (square). B.7a ,. 8,, ( B.7b). 4 B.7c 16. 9

10 . SOP, 1, NOT 0. B.7a AB 2, 1. 2 ( B.7a) AB A B. B.7b B.3., :., (B.3), (B.1). B.7d. A A 1., A A 0. B, C, D. 1,.., 1,. B.8a 1 2 A BC 2 D 2 A BCD.. 2 A BC 2 D 1 2 A BCD 5 2 A BD..,. B.8b c., 2 n (, 4, 8 ). B.8,. 8,. 10

11 B. 1. (1 ), 1, 2, 4, 8, (circle). 2.,..,,,., 1. 3.,,,, ;,. 11

12 B.3 B.9a. 1., 1. B.9b.,..,. don t care. d., 1 0. [HAYE88] : , , , ,..., , Binary Coded Decimal(BCD). B.4 4 BCD 1 4. modulo 10( 10 )., BCD don t care. B.10. d. Quine-McKluskey , 12

13 B , Quine-McKluskey.. 13

14 .. ABCD 1 ABC 2 D 1 ABC 2 D 2 1 AB 2 CD 1 A 2 BCD 1 A 2 BCD 2 1 A 2 BC 2 D 1 A 2 B 2 C 2 D,. (row) (term). (complemented variables).,,,,.... B.5.., 1, (index column) 10,..,, 0 1.,,.,,.... ( ), ( )., 2 A BCD 2 2 A BCD ABC..,. 2 A 2 C D ABC 2 ABD BC 2 D ACD 2 A BC BCD 2 A BD 14

15 B..,,., : BD...,. B.6 (matrix). (row) ( ). (column). (element), X.., X X (circle)., X X. X. X.,. ABC 2 1 ACD 1 2 A BC 1 2 A 2 C D.. Quine-McKluskey.. product. ABC + ABC AB., ABC 1 ABC 2 5 AB(C 1 2 C ) 5 AB,.,,.. 15

16 NAND NOR. NAND NOR.,. (B.3). F 5 B(A C ), F 5 B(A C ) 5 (A 2 B) 1 (BC 2 )., F = (A 2 B) (BC 2 ), B.11 NAND..,. B to-1. 4 D0, D1, D2, D3, F. (S1, S2) (select code). 16

17 B 4-to-1 B.7.., D0, D1, D2, D3. B.13 AND, OR, NOT. S1 S2 AND 0, S1 S2 AND. AND 0 1., OR 0, OR. 8-to-1 16-to-1. (routing). (PC). PC. 2 (binary counter): PC (instruction register): (direct address) 17

18 ALU : (displacement mode)., PC. PC. PC ( ). B ,. n 2 n. B

19 B. (address decoding) bit RAM 1 Kbyte.. Address Chip FF FF FF FF 3 8, RAM. B.16, 2-to-4., (demultiplexer)..,. B.17. n 2 n. 2 n AND. n, (0 1). 19

20 .. (Integrated Circuit, IC). 20

21 B B SSI(Small-Scale Integration). (PCB).,.,, ( )...,. PLA(programmable logic array). PLA () SOP(sum-of-products). PLA NOT, AND, OR. NOT AND. AND OR, OR. SOP. B.19(a) 3 8, 2 PLA. PLA, AND, AND OR,. PLA ( ). (intersection point) (fuse).. PLA Field-Programmable Logic Array(FPLA: ). (mask)., PLA. B.19b PLA. (memoryless).,,., - (Read-Only Memory, ROM). ROM (read)., 2 ROM 21

22 ,. ROM ( ) (). ROM. ROM OR. B.8 22

23 B ROM. 4, 4. B.20 4-to-16 4 OR 23

24 . PLA,., ROM. (arithmetic).. 2 (carry) B.9a... n.. B

25 B (multiple-bit adder) 1 3,. B.9b,. (Sum) 5 2 A 2 B C 1 2 A BC 2 1 ABC 1 AB 22 C (Carry) 5 AB 1 AC 1 BC B.22 AND, OR, NOT. B.23.,.,.,,. (carry lookahead). 25

26 4.. C 0 5 A 0 B 0 C 1 5 A 1 B 1 1 A 1 A 0 B 0 1 B 1 A 0 B 0 (B.4) (B.5),. C 2 5 A 2 B 2 1 A 2 A 1 B 1 1 A 2 A 1 A 0 B 0 1 A 2 B 1 A 0 B 0 1 B 2 A 1 B 1 1 B 2 A 1 A 0 B 0 1 B 2 B 1 A 0 B 0. SOP,... n n 2 1 OR 2 n 1 1 n AND. B , B.4. ROM,.,.., (current state)..,. -. -,. - (bistable),., - 1 (1-bit memory). -. (complement) 26

27 B, Q Q 2. S-R B.24 S-R - S-R (S-R Latch). S(set) R(reset) Q 2 Q, (feedback) NOR.,. S R 0, Q 0. NOR Q 5 0 S Q 1, NOR 2 Q 5 1 R 5 0 Q S 5 R 5 0., R 5 S 5 0 Q 5 1, 2 Q , Q (value). S R 1 0. Q 5 0, 2 Q 5 1, S 5 0, R 5 0. S 1, NOR S 5 1, Q 5 0, Dt 27

28 2 Q 5 0 ( B.25 )., NOR R 5 0, 2 Q 5 0. (Dt) Q 5 1. NOR S 5 1, Q 5 1, Q 0, S 5 1, R 5 0 Q 1., S 0. R. R 1, Q 2 Q Q 5 0, 2 Q Dt. S-R (truth table) (character table),. S-R, Q, B.10a. S 5 1, R 5 1 (Q 2 Q 0). B.10b. S-R B.10c. 28

29 B S-R - S-R. (asynchronous operation). (clock pulse). B.26. clocked S-R flip-flop. R S NOR. D - S-R - R S 1.. D -. B.27 D -. AND. D - - (data flip-flop),. D -.,. - (delay flip-flop), 0 1. J-K - - J-K -. S-R - 29

30 .. B.28 J-K -, B S-R -.. J set 1, K reset 0. 1 (toggle),. Q 1 J K 1 Q 0. B CPU. CPU. (parallel register) (shift register). 30

31 B 1... B.30 8 D -. load D11 D18. (multiplexer),. (serial). B.31, 5 D -. -.,. (serial I/O device interface). ALU (logical shift) (rotate). / (parallel read/write circuit). 31

32 . 1. n - 2 n CPU (program counter). (synchronous) (asynchronous) , CPU.. (ripple counter).. B.32 J-K - 4 (timing diagram). (propagation delay). - (Q 0 ). - (cascade).. - J K 1. Q. (falling edge) ; 32

33 B - (edge triggered flip-flop)., (transition) , 0001,..., 1110, 1111, 0000,..... CPU (synchronous counter) J-K -. - A, B, C, C. J, K ( B.33a).. A, B, C,. A, B, C, - A, B, C. B.33a J-K -. J K... ( ). (excitation table). B.33a., A B 0 C 0 1., 0 J 5 0 K don t care. 0 1 J 5 1, K 5 d.,. B.33a A, B, C J, K. 6. b 33

34 ., Ja( A - J ) Ja 5 BC. 6, c. B.5 [GREG98]. [STON96] 34

35 B. ; [MANO04] [FARH04]. FARH04 Farhat, H. Digital Design and Computer Organization. Boca Ratan: CRC Press, GREG98 Gregg, J. Ones and Zeros: Understanding Boolean Algebra, Digital Circuits, and the Logic of Sets. New York: Wiley, MANO04 Mano, M., and Kime, C. Logic and Computer Design Fundamentals. Upper Saddle River, NJ: Prentice Hall, STON96 Stonham, T. Digital Logic Techniques. London: Chapman & Hall, Problems B.1. a b c. 2 2 d. 2 2 B.2. a b. c. d. 2 2 B.3. a. b B.4. a. a. a. a. a. 2 2 a. 2 2 a. B.5. B.6. 35

36 B.7. B.8. a. b. c. d. B.9. B.10. B.11. B

37 B B.13. B.14. a. b. 37

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

Microsoft PowerPoint - CHAP-01 [호환 모드]

Microsoft PowerPoint - CHAP-01 [호환 모드] 컴퓨터구성 Lecture #2 Chapter : Digital Logic Circuits Spring, 203 컴퓨터구성 : Spring, 203: No. - Digital Computer Definition Digital vs. nalog Digital computer is a digital system that performs various computational

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

<3033B9DABCF6B0E62E687770>

<3033B9DABCF6B0E62E687770> 일반논문 조선 초기 행정개혁의 인식론적 연구 행정이란 국가나 사회의 공공문제를 해결하기 위하여 정부나 공공조직이 정책을 결정하고 제도를 정하며 그것을 시행하고 관리하여 의도하는 목적을 달성하는 활동이라고 할 수 있으며, 행정사상이란 사람들이 행정에 대하여 갖는 견해나 관점 혹은 이론이라고 할 수 있다(윤재풍, 2005). 행정개혁은 행정환경의 변화에 따라 이에

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Microsoft PowerPoint - dc_ch3 [호환 모드]

Microsoft PowerPoint - dc_ch3 [호환 모드] Chapter 3 Karnaugh Maps 명제 진리표디지털시스템논리회로 Logic map K-map 부울함수 : Switching Expressions and Logic Maps 논리적인접 * 오직 1비트만이다른입력변수의두조합을논리적으로인접하다고함 * [ 예 ](x 와 x ) x), (xy 와 x y) xy), (xyz 와 xy z) z), (abcd 와

More information

Microsoft PowerPoint - CHAP-03 [호환 모드]

Microsoft PowerPoint - CHAP-03 [호환 모드] 컴퓨터구성 Lecture Series #4 Chapter 3: Data Representation Spring, 2013 컴퓨터구성 : Spring, 2013: No. 4-1 Data Types Introduction This chapter presents data types used in computers for representing diverse numbers

More information

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

untitled

untitled 1 PLC 1.1 PLC 1.1.1 PLC PLC(Programmable Logic Controller),,, LSI,,. (NEMA: National Electrical Manufactrurers Association),,,,. 1.1.2 PLC PLC. FMS(Flexible Manufacturing System) PLC,. 1-1 PLC. - 5 - 1.2

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

OR MS와 응용-03장

OR MS와 응용-03장 o R M s graphical solution algebraic method ellipsoid algorithm Karmarkar 97 George B Dantzig 979 Khachian Karmarkar 98 Karmarkar interior-point algorithm o R 08 gallon 000 000 00 60 g 0g X : : X : : Ms

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

SNU =10100 =minusby by1000 ÄÄto0.03exÄÄto0.03exÄÄ=10100 =minusby by1000 Ç»to0.03exÇ»to0.03exÇ»=10100 =minusby by1000 ÅÍto0.0

SNU =10100 =minusby by1000 ÄÄto0.03exÄÄto0.03exÄÄ=10100 =minusby by1000 Ç»to0.03exÇ»to0.03exÇ»=10100 =minusby by1000 ÅÍto0.0 차례 SNU 046.016 컴퓨터과학이여는 세계 (Computational Civilization) Part Prof. Kwangkeun Yi Department of Computer Science & Engineering 이전 다음 1 400년의 축적 2 그 도구의 실현 3 SW, 지혜로 짓는 세계 4 응용: 인간 지능/본능/현실의 확장 또다른 100여년의

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

MAX+plusⅡ를 이용한 설계

MAX+plusⅡ를 이용한 설계 Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT 게이트들로이루어진멀티플렉서기능의논리회로구현멀티플렉서 : 여러개의입력중하나를선택하여출력하는기능모듈입력 s=: 단자 a 의값이단자 z 로출력입력 s=: 단자 b 의값이단자 z 로출력 File name

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 -

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Chapter 2 메모리와프로그램논리장치 반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Ø 접근방법에의한분류 v RAM(Random Access Memory) : 접근시간이어느위치나동일하게걸리는메모리형태

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 마이크로컨트롟러기초 (#514112 ) #3. 컴퓨터구조기초 핚림대학교젂자공학과이선우 주요학습내용 컴퓨터시스템구조기초 디지털논리회로관련용어, 장치기초 컴퓨터시스템구조관련기초내용 참고문헌 논리회로이롞, 실습, 시뮬레이션, 임석구, 홍경호, 핚빛미디어, 2007 알기쉽게해설핚컴퓨터구조완성, 조경산저, 이핚출판사 2 Typical digital signal TTL

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

1

1 1 1....6 1.1...6 2. Java Architecture...7 2.1 2SDK(Software Development Kit)...8 2.2 JRE(Java Runtime Environment)...9 2.3 (Java Virtual Machine, JVM)...10 2.4 JVM...11 2.5 (runtime)jvm...12 2.5.1 2.5.2

More information

HWP Document

HWP Document CODE A00-B99 A00-A09 A00 KOR_TITLE 특정 감염성 및 기생충성 질환 창자 감염 질환 콜레라 A00.0 비브리오 콜레리 01 전형균에 의한 콜레라 A00.0 전형균에 의한 콜레라 A00.1 비브리오 콜레리 01 엘토르형균에 의한 콜레라 A00.1 엘토르형균에 의한 콜레라 A00.9 상세불명의 콜레라 A01 A01.0 장티푸스 장티푸스

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

Microsoft PowerPoint - dc_ch2 [호환 모드]

Microsoft PowerPoint - dc_ch2 [호환 모드] Chapter 2 Boolean Algebra and Logic Circuits Chapter 2 Boolean Algebra and Logic Circuits 2.1 Boolean Algebra 2.1.1 1 Definition of Boolean Algebra 2.1.2 Fundamental Theorems 2.1.3 Switching Algebra 2.1.4

More information

( )EBS문제집-수리

( )EBS문제집-수리 www.ebsi.co.kr 50 024 www.ebsi.co.kr 025 026 01 a 2 A={ } AB=2B 1 4 B a 03 æ10 yæ10 y 10000 y (log )( log y) Mm M+m 3 5 7 9 11 02 { -2 1} f()=-{;4!;} +{;2!;} +5 Mm Mm -21-18 -15-12 -9 04 a =1a«+a«=3n+1(n=1,

More information

기본서(상)해답Ⅰ(001~016)-OK

기본서(상)해답Ⅰ(001~016)-OK 1 1 01 01 (1) () 5 () _5 (4) _5_7 1 05 (5) { } 1 1 { } (6) _5 0 (1), 4 () 10, () 6, 5 0 (1) 18, 9, 6, 18 1,,, 6, 9, 18 01 () 1,,, 4, 4 1,,, 4, 6, 8, 1, 4 04 (1) () () (4) 1 (5) 05 (1) () () (4) 1 1 1 1

More information

Microsoft Word - Experiment 5.docx

Microsoft Word - Experiment 5.docx Experiment 5. Use of Generic Array Logic Abstract 본실험에서는임의의복잡한회로를구현하기위한방법으로수업시간에배운 Programmable Logic Device(PLD) 를직접프로그램하여사용해보도록한다. 첫째로, 본실험에서는한번프로그램되면퓨즈를끊는방향으로만수정할수있는 Programmable Array Logic을대신하여, 재생가능한

More information

. 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되

. 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되 Chapter 2 메모리와프로그램논리장치 . 메모리의구조. 메모리개요 v 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. v 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되는데이터의통로. 메모리 MAR 입력주소 (n 비트

More information

<31312DB1E8BCB1BFEB4B494353323031322D30342D3230375F31C2F7BCF6C1A4B0CBC5E4BABB2E687770>

<31312DB1E8BCB1BFEB4B494353323031322D30342D3230375F31C2F7BCF6C1A4B0CBC5E4BABB2E687770> 논문번호 12-37C-05-11 한국통신학회논문지 '12-05 Vol.37C No.05 광대역 전파방해환경에서 다중대역 GNSS 신호결합에 따른 검파성능 정회원 유 승 수 *, 종신회원 김 선 용 * Detection Performance for Combining Multiband GNSS Signals in Broadband Jamming Environments

More information

슬라이드 1

슬라이드 1 보안회로설계 순차회로 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 조합과순차 조합회로 (combinational circuit) Memory가없다. 입력한값에따른출력 출력 = f ( 입력 ) 순차회로 (sequential circuit) Memory가있다. Memory에는회로의현상태가저장 출력은입력과현상태에의해결정

More information

FARA PLC N70plus 시스템 사용자 메뉴얼

FARA PLC N70plus 시스템 사용자 메뉴얼 FARA PLC N70plus FARA PLC N70plus FARA PLC N70plus FARA PLC N70plus RUN h P U S H h i RUN PROG. ERROR COMM1 COMM2 REMOTE PROG. INITIALIZE (CPL9216A) (CPL9215A) FARA PLC N70plus CPL9215A CPL9216A CPL93023

More information

Microsoft PowerPoint - 제12장.ppt [호환 모드]

Microsoft PowerPoint - 제12장.ppt [호환 모드] Chapter 2 메모리와프로그램논리장치 . 메모리의구조. 메모리개요 메모리번지레지스터 (MAR : memory address register) : 메모리액세스시특정워드의주소가 MAR 에전송된다. 메모리버퍼레지스터 (MBR : memory buffer register) : 레지스터와외부장치사이에서전송되는데이터의통로. MAR 입력주소 (n 비트 ) 메모리 2

More information

6장.indd

6장.indd Computer Architecture C H A P TE R 06 CO NT EN TS 보조저장장치 6.1 자기 디스크 6.2 RAID 6.3 플래시 메모리와 SSD 6.4 광 저장장치 Computer Architecture CHAPTER 06 보조저장장치 이 장에서는 외부 기억장치에 해당하는 보조저장장치들에 대하여 설명하고 자 한다. 그들 중에서 시스템의

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

Microsoft PowerPoint - 제05장.ppt [호환 모드]

Microsoft PowerPoint - 제05장.ppt [호환 모드] Chapter 05 부울대수 1. 부울대수 부울대수 (boolean algebra) 를근거로한스위칭이론 (switching theory) 은논리설계에있어서이론적인근거가되는수학적체계. 부울대수 - 부울상수와부울변수로구성, 0과 1의두개값을가짐 - 논리레벨의여러정의 논리 0 False Off Low No Open Switch 논리 1 True On High Yes

More information

제 9 도는 6제어항목의 세팅목표의 보기가 표시된 레이더 챠트(radar chart). 제 10 도는 제 6 도의 함수블럭(1C)에서 사용되는 각종 개성화 함수의 보기를 표시하는 테이블. 제 11a 도 제 11c 도까지는 각종 조건에 따라 제공되는 개성화함수의 변화의

제 9 도는 6제어항목의 세팅목표의 보기가 표시된 레이더 챠트(radar chart). 제 10 도는 제 6 도의 함수블럭(1C)에서 사용되는 각종 개성화 함수의 보기를 표시하는 테이블. 제 11a 도 제 11c 도까지는 각종 조건에 따라 제공되는 개성화함수의 변화의 (19) 대한민국특허청(KR) (12) 특허공보(B1) (51) Int. Cl. 5 B66B 1/18 (45) 공고일자 1993년09월28일 (11) 공고번호 특1993-0009339 (21) 출원번호 특1989-0002580 (65) 공개번호 특1989-0014358 (22) 출원일자 1989년03월02일 (43) 공개일자 1989년10월23일 (30) 우선권주장

More information

03-최신데이터

03-최신데이터 Database Analysis II,,. II.. 3 ( ),.,..,, ;. (strong) (weak), (identifying relationship). (required) (optional), (simple) (composite), (single-valued) (multivalued), (derived), (identifier). (associative

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

歯표지.PDF

歯표지.PDF GLOFA MASTERK !!!! 8 4 4 4 4 4!! 8 4 8 8 8 8 4 4 1 1 1 1 1 2 ± 1 1 3 2 + < < ± 2 1 2 DIN BS ( C) (µv) K NiCrNi NiCrNiAI 2000~12000 5891~48828 J PeCuNi 2000~8000 7890~45498 E NiCrCuNi 1500~6000 7297~45085

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Microsoft PowerPoint - PL_03-04.pptx

Microsoft PowerPoint - PL_03-04.pptx Copyright, 2011 H. Y. Kwak, Jeju National University. Kwak, Ho-Young http://cybertec.cheju.ac.kr Contents 1 프로그래밍 언어 소개 2 언어의 변천 3 프로그래밍 언어 설계 4 프로그래밍 언어의 구문과 구현 기법 5 6 7 컴파일러 개요 변수, 바인딩, 식 및 제어문 자료형 8

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

5. Kapitel URE neu

5. Kapitel URE neu URE Fuses for Semiconductor Protection European-British Standard Standards: IEC 60 269-4 BS 88-4 Class: ar Voltage ratings: AC 240 V AC 700 V Current ratings: 5 A 900 A Features / Benefits High interrupting

More information

ETL_project_best_practice1.ppt

ETL_project_best_practice1.ppt ETL ETL Data,., Data Warehouse DataData Warehouse ETL tool/system: ETL, ETL Process Data Warehouse Platform Database, Access Method Data Source Data Operational Data Near Real-Time Data Modeling Refresh/Replication

More information

Microsoft PowerPoint - Appendix_SNU_Combinational Digital Logic Circuits.ppt

Microsoft PowerPoint - Appendix_SNU_Combinational Digital Logic Circuits.ppt CHAPTER 2 COMBINATIONAL LOGIC CIRCUITS What to study? - Binary Logic (Boolean Algebra) : 논리회로의기본수학 -Gates: 디지털시스템의기본구성소자 - How to design cost-effective circuits? Logic Circuits: - Combinational Logic Circuits

More information

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는

알람음을 출력하는 이동통신 단말기에 있어서, 실시간 알람음을 출력하는 음향 출력 수단; 디지털 멀티미디어 방송(DMB: Digital Multimedia Broadcasting, 이하 'DMB'라 칭함) 신호를 수신하면 오디오 형태로 변 환하여 DMB의 음향을 전달하는 (19)대한민국특허청(KR) (12) 공개특허공보(A) (51) Int. Cl. H04N 5/44 (2006.01) H04N 7/08 (2006.01) (11) 공개번호 (43) 공개일자 10-2007-0071942 2007년07월04일 (21) 출원번호 10-2005-0135804 (22) 출원일자 2005년12월30일 심사청구일자 없음 (71) 출원인 주식회사

More information

리뉴얼 xtremI 최종 softcopy

리뉴얼 xtremI 최종 softcopy SSD를 100% 이해한 CONTENTS SSD? 03 04 05 06 07 08 09 10 11 12 13 15 14 17 18 18 19 03 SSD SSD? Solid State Drive(SSD) NAND NAND DRAM SSD [ 1. SSD ] CPU( )RAM Cache Memory Firmware GB RAM Cache Memory Memory

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

목 차 1. 공통공시 총괄 1 2. 살림규모 6 2-1 세입결산 3 2-2 세출결산 5 2-3 중기지방재정계획 7 3. 재정여건 8 3 1 재정자립도 8 3 2 재정자주도 9 3-3 재정력지수 10 3-4 통합재정수지 11 4. 채무 및 부채 12 4-1 지방채무 현황

목 차 1. 공통공시 총괄 1 2. 살림규모 6 2-1 세입결산 3 2-2 세출결산 5 2-3 중기지방재정계획 7 3. 재정여건 8 3 1 재정자립도 8 3 2 재정자주도 9 3-3 재정력지수 10 3-4 통합재정수지 11 4. 채무 및 부채 12 4-1 지방채무 현황 2014년도 연천군 지방재정공시 연 천 군 목 차 1. 공통공시 총괄 1 2. 살림규모 6 2-1 세입결산 3 2-2 세출결산 5 2-3 중기지방재정계획 7 3. 재정여건 8 3 1 재정자립도 8 3 2 재정자주도 9 3-3 재정력지수 10 3-4 통합재정수지 11 4. 채무 및 부채 12 4-1 지방채무 현황 12 4 1-1지방채발행 한도액 및 발행액 14

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

Microsoft Word - 모바일payment_수정_

Microsoft Word - 모바일payment_수정_ 모바일 Payment 대중화 실현된다 KT Payment 컨버전스 사업팀, 박장혁(parkjanghyuk@kt.com) 모바일 Payment란 모바일 신용카드, 모바일 교통카드, 폰빌, 가상계좌송금서비스, 전자 화폐, 바코드형 쿠폰, 멤버십, 상품권 등 온라인/오프라인에서 휴대폰을 통한 지불결제 를 통칭하는 것으로 스마트폰 확대에 따라 무선인터넷이 활성화되고,

More information

CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN

CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN CMOS IMAE SENSOR and Its Application W.H. Jo System IC SP Div. MT CIS Dev. Team CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN Mobile

More information

10. 메모리 목표 메모리의개요 Random Access Memory (RAM) Read Only Memory (ROM) 프로그램가능한 ROM (PROM) 비휘발성입 / 출력메모리 메모리패키지 대용량저장장치 1

10. 메모리 목표 메모리의개요 Random Access Memory (RAM) Read Only Memory (ROM) 프로그램가능한 ROM (PROM) 비휘발성입 / 출력메모리 메모리패키지 대용량저장장치 1 . 메모리 목표 메모리의개요 Random Access Memory (RAM) Read Only Memory (ROM) 프로그램가능한 ROM (PROM) 비휘발성입 / 출력메모리 메모리패키지 대용량저장장치 반도체메모리의세가지특성 밀도 : Density - 메모리가수용할수있는데이터의양 ( 비 ) 휘발성 : (Non-) Volatility - 전원이제거되었을때의정보저장능력

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

4.18.국가직 9급_전산직_컴퓨터일반_손경희_ver.1.hwp

4.18.국가직 9급_전산직_컴퓨터일반_손경희_ver.1.hwp 2015년도 국가직 9급 컴퓨터 일반 문 1. 시스템 소프트웨어에 포함되지 않는 것은? 1 1 스프레드시트(spreadsheet) 2 로더(loader) 3 링커(linker) 4 운영체제(operating system) - 시스템 소프트웨어 : 운영체제, 데이터베이스관리 프로그램,, 컴파일러, 링커, 로더, 유틸리티 소프트웨 어 등 - 스프레드시트 : 일상

More information

歯이시홍).PDF

歯이시홍).PDF cwseo@netsgo.com Si-Hong Lee duckling@sktelecom.com SK Telecom Platform - 1 - 1. Digital AMPS CDMA (IS-95 A/B) CDMA (cdma2000-1x) IMT-2000 (IS-95 C) ( ) ( ) ( ) ( ) - 2 - 2. QoS Market QoS Coverage C/D

More information

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1 SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 100) 1 Contents 1 INTRODUCTION 2 PROTOCOL FRAME OUTLINE 3 FUNCTION FIELD 4 DATA FIELD 5 CRC CHECK 6 FUNCTION EXAM 7 EXCEPTION RESPONSE 8 I/O STATUS

More information

Microsoft PowerPoint - 제06장.ppt [호환 모드]

Microsoft PowerPoint - 제06장.ppt [호환 모드] 6 장부울함수의간소화 개요 모든입력과출력조건이동일한경우에는가능한한논리회로를간단하게구성 논리회로간소화혹은최적화 부울식의간소화 : term 을감소하거나 literal 를감소한다. term 은게이트의수, literal 은게이트의입력수를나타낸다. 논리회로의동작속도향상, 소비전력감소등효율적인논리회로구성가능 논리회로를간소화하는방법 논리회로자체를간소화하는방법 논리회로를부울함수로표현한후부울함수를간소화

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

: C, Y, =0, (Crook et al.(2007) ) ( ) 1 2 3 4 5 966 967 967 967 967 4,834 234 383 462 516 508 2,103 (A) 1 661 1,629 2,623 3,802 7,613 3,806 1,040 1,636 2,175 2,788 4,193 2,629 (B) 2,128 2,676 3,492

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jun; 26(6), 546 554. http://dx.doi.org/10.5515/kjkiees.2015.26.6.546 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Proof-of-Concept

More information

3장 ION M74 자동변속기.ppt

3장 ION M74 자동변속기.ppt 139 140 141 1. 1. BTRA M74 4 BTRA M74 TCU(Transmission Control Unit) TCU TPS, TCU 3 1 Normal Power Winter 2. 1. 142 2. 2. 1. BTRA M74 143 2. Normal Power Winter Normal TCU Power TCU Power Winter D 2 Winter

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA FPS게임 구성요소의 중요도 분석방법에 관한 연구 2 계층화 의사결정법에 의한 요소별 상관관계측정과 대안의 선정 The Study on the Priority of First Person Shooter game Elements using Analytic Hierarchy Process 주 저 자 : 배혜진 에이디 테크놀로지 대표 Bae, Hyejin AD Technology

More information

1 1 x + # 0 x - 6 x 0 # x # 2r sin2x- sin x = 4cos x r 3 r 2r 5 r 3r

1 1 x + # 0 x - 6 x 0 # x # 2r sin2x- sin x = 4cos x r 3 r 2r 5 r 3r # 0 0 # # si si cos # 0 # 0 ^ h ^h^h# 0 ^! 0, h ^h^h# 0 ^! 0, h si si cos sicos si cos si ^cos h ^cos h si ^cosh^cos h 0 ^sih^cos h 0 0 # # cos cos, ^ si! h,, ` 0 # 혼자하는수능수학 0 년대비 9 월 A B, y f^h f^h, 0

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information

산선생의 집입니다. 환영해요

산선생의 집입니다. 환영해요 Biped Walking Robot Biped Walking Robot Simulation Program Down(Visual Studio 6.0 ) ). Version.,. Biped Walking Robot - Project Degree of Freedom : 12(,,, 12) :,, : Link. Kinematics. 1. Z (~ Diablo Set

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information