Microsoft PowerPoint - hw4.ppt [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - hw4.ppt [호환 모드]"

Transcription

1 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module instance primitive instance assign structural model dataflow model initial always behavioral model 컴퓨터정보통신임베디드하드웨어설계 1 2 procedural statement procedural statement 보통의 programming언어와비슷한방식으로기술함 보통 programming언어에서사용하는구문들사용 if, case, for, assignment 등 추상적인 behavioral model에사용 procedural statement의종류 initial 문 single-pass behavior always 문 cyclic behavior 3 initial 문 initial 문 single pass behavior initial begin initial 문장 ; 문장 ; 문장 ; simulation을시작할때에한번만수행 synthesis 되지않음 일반적으로초기화, 입력파형생성, 출력관찰등 simulation 수행시에한번만수행되어야하는과정을기술하는데사용 예 initial begin x = 1'b0; #40 x = 1'b1; initial #100 $finish; 4

2 always 문 always 문 cyclic behavior always 반복조건 begin 문장 ; 문장 ; 반복조건의이벤트가발생할때마다반복하여수행 예 : clock generator initial clock = 1'b0; always #20 clock = ~clock; clock initial 과 always 의비교 종류 구문 동작 논리합성 initial initial begin... (single-pass behavior) simulation시작할때한번수행 x 4.2 타이밍제어 -always 반복조건 타이밍제어 형식 내용 지연제어 #10 10 단위시간이지연됨 신호 a의변화를기다림 레벨제어 wait(a==0) 신호 a가 0과같게되기를기다림 always always begin... (cyclic behavior) 이벤트가발생할때마다반복수행 O 지연제어와레벨제어문은논리합성이지원되지않음 지연제어문 #delay 주어진시간 delay 가지연된후에뒤에있는문장을수행 7 컴퓨터정보통신 임베디드하드웨어설계 8

3 이벤트제어 이벤트제어의여러가지형태 var 값의변화시에 event 변수 var var) 변수 var의 positive edge (0 1 변화 var) 변수 var의 negative edge (1 0 변화 or var2) 변수 var1 또는 var2 var1 or negedge var1 or var2) synthesis tool은혼합형태를지원하지않음 sensitivity list Verilog-2001에서는 or 대신에, var2, var3) level-sensitive variable edge-triggered variable 9 level-sensitive 와 edge-triggered 이벤트 level-sensitive 이벤트 a 또는 b가변할때이벤트발생 half-adder or b) begin sum = a ^ b; cout = a & b; a 또는 b 가변할때마다 sum 과 cout 값을다시계산 edge-triggered 이벤트 clk의 positive edge에서이벤트발생 D flip-flop D clk) qout = din; clk 의 positive edge 에서 din 이 qout 에저장됨 10 Q 4.3 procedural assignment 문 예 : 보수출력이있는 positive edge-triggerred D flip-flop module dff_s (q, qbar, data, clk); output q, qbar; input data, clk; reg q, qbar; (posedge clk) begin q = data; qbar = ~q; module module dff_s (q, qbar, data, clk); output q, qbar; input data, clk; reg q; assign qbar = ~q; (posedge clk) begin q = data; module assign문과 always문의병렬수행두할당문은순서대로수행함두할당문순서가바뀌면동작이달라짐 순차할당문 (procedural assignment statement) initial 또는 always 내에서사용된 assignment( = ) 문장 순차적으로할당문이수행됨 할당문의순서가동작에영향을미칠수있음 LHS 변수는 variable 형이어야함 (ex) reg 또는 integer reg 형변수는 hardware register 로합성될수있으나그렇지않을수도있음 always 반복조건과회로 sensitivity list 이벤트에포함된신호들 sensitivity list 에모든입력을포함한다면 조합회로로합성될수도있음 sensitivity list, 에모든입력이포함되지않는다면 내부에기억장소가포함된순차회로로합성됨 11 12

4 4.4 조건문 if 문을사용한설계 if 문 if ( 조건식 ) 문장 if ( 조건식 ) 문장 1 else 문장 2 if ( 조건식1) 문장1 else if ( 조건식2) 문장2... else if ( 조건식n) 문장n else 문장n+1 case 문 case ( 수식 ) 값1: 문장1; 값2: 문장2;... default: 기본문장 ; case // 일치하는값이없으면기본문장수행 예 : flip-flop with synchronous set and reset module dff_s (q, qbar, data, set, reset, clk); output q, qbar; input data, set, reset, clk; reg q; assign qbar = ~q; (posedge clk) begin if (reset==0) q = 0; else if (set==0) q = 1; else q = data; module D R Q Q S 컴퓨터정보통신 임베디드하드웨어설계 예제 예제 예 : flip-flop with asynchronous set and reset 예 : transparent D-latch module dff_a (q, qbar, data, set, reset, clk); output q, qbar; input data, set, reset, clk; reg q; module tr_latch (q, enable, data); output q; input enable, data; reg q; D Q assign qbar = ~q; (negedge set or negedge reset or posedge clk) begin if (reset==0) q = 0; // async reset else if (set==0) q = 1; // async set else q = data; // synchronize with clk module (enable or data) begin if (enable) q = data; module enable=0 이면 q 값은변하지않음 저장 G set과 reset은실제로 level-sensitive 동작 : 0일때에clk과무관하게 (asynchronous) set 또는 reset 동작 clk만 edge-triggered 동작컴퓨터정보통신임베디드하드웨어설계 15 sensitivity list 에모든입력이있지만문장에서출력 q 가모든경우에대해서정의되어있지않으므로기억장소를포함하여논리합성됨 16

5 예제 예 : 1 비트 4x1 멀티플렉서 module mux4(y, d3, d2, d1, d0, sel); output y; input d3, d2, d1, d0; input sel; reg y; or d2 or d1 or d0 or sel) begin if (sel==0) y = d0; else if (sel==1) y = d1; else if (sel==2) y = d2; else if (sel==3) y = d3; else y = 1'bx; module else y = d3; case 문을사용한설계 1 비트 4x1 멀티플렉서 module mux4(y, d3, d2, d1, d0, sel); output y; input d3, d2, d1, d0; input [1:0] sel; reg y; or d2 or d1 or d0 or sel) begin case (sel) 0: y = d0; 1: y = d1; 2: y = d2; 3: y = d3; case module default: y = 1'bx; // 모든경우정의 컴퓨터정보통신 임베디드하드웨어설계 17 컴퓨터정보통신 임베디드하드웨어설계 여러가지 Behavioral Model Modeling styles structural modeling gate-level structure behavioral modeling continuous assignment dataflow model register transfer level(rtl) logic algorithm-based model always 문사용 RTL Model RTL(Register Transfer Logic) model 주로 clock에동기되는동작하는 synchronous machine의 data flow를 modeling하는데사용 combinational logic의 modeling 가능 로대체가능 조합회로의 RTL modeling combinational logic은 continuous assignment문과같은동작을하는 asynchronous cyclic behavior로 modeling 가능 RHS에사용되는모든변수포함 wire y1, y2; reg y1, y2; 19 assign y1 = expr1; or v2 ) begin assign y2 = expr2; y1 = expr1;... y2 = expr2;... continuous assignment 모델 RTL 모델 20

6 RTL model 예제 예 : 2-bit comparator module cmp2_rtl(lt, gt, eq, A1, A0, B1, B0); input A1, A0, B1, B0; output lt, gt, eq; reg lt, gt, eq; or A1 or B0 or B1) begin lt = {A1,A0} < {B1,B0}; gt = {A1,A0} > {B1,B0}; eq = {A1,A0} == {B1,B0}; module Algorithm-Based Models Algorithm-based model RTL model 보다더추상적인 model input-output 관계를기술하며 register, datapath, computational resource 등의내부구조를기술하지않음 architectural synthesis 내부구조는 synthesis tool에의해서정해짐 most challenging hardware 로합성될수없는경우도있음 always 내의문장은순서대로실행됨 RHS 와 LHS 사이에 depency 가있으면순서에영향을받음 (cf) multiple continuous assignment 들은 concurrent 하게실행됨 기술된순서에관계없음 Algorithm-Based Model 예제 예 : 2-bit comparator module cmp2_ca2(lt, gt, eq, A, B); input [1:0] A, B; output lt, gt, eq; reg lt, gt, eq; (A or B) begin lt = 0; gt = 0; eq = 0; if (A==B) eq = 1; else if ( A > B) gt = 1; else lt = 1; module // deassert(0) 초기화 조건을만족하는출력을 assert(1) 이 model 은 reg 변수를사용할지라도조합회로로합성되며 hardware register 를필요로하지않음 4.6 Blocking 와 Nonblocking Assignment 문 procedural assignment 문의종류 종류구문동작 blocking assignment 문 nonblocking assignment 문 변수 = 수식 ( 보통의 programming 언어와같음 ) 블록내의할당문을순서대로수행 할당문의순서에영향을받을수있음 변수 <= 수식 sequential (concurrent behavior 를 modeling) 블록내의할당문의수식들을먼저계산한후에 LHS 변수값을갱신함 할당문의순서에영향을받지않음 concurrent 23 24

7 예 4-bit shift register 4비트 shift register clock의 positive edge에서 register 값이 1비트씩오른쪽으로이동 4-bit shift register의 modeling structural model 또는 dataflow model로기술하기가어려움 clk) 을사용한 behavioral model로기술함 assignment문사용에주의가필요함컴퓨터정보통신임베디드하드웨어설계 25 Blocking Assignment 문 Blocking assignment: a = b sequential, procedural assignment blocking assignment문의실행이종료되어야다음문장이실행됨 새로할당된결과가다음문장의실행에사용됨 실행결과는 blocking assignment 문의순서에영향을받을수있음 예 : 4-bit shift register ( 순서 : E D C B A) clk) begin A = B; B = C; C = D; D = E; before: EDCBA=1011x after: EDCBA=11011 clk) begin D = E; C = D; B = C; A = B; before: EDCBA=1011x after: EDCBA=11111 ( 옳음 ) ( 잘못됨 ) 26 Nonblocking Assignment Nonblocking assignment: a <= b 먼저 procedure 내의 RHS 의값들을계산한후에 LHS 변수를갱신함 t = T 에 RHS 값계산 t = T + 에 LHS 변수값갱신 nonblocking assignment 문들을 concurrent 하게실행하는효과를제공하며문장들의순서에영향을받지않음 예 : 4-bit shift register ( 순서 : E D C B A) clk) begin A <= B; B <= C; C <= D; D <= E; before: EDCBA=1011x after: EDCBA=11011 clk) begin D <= E; C <= D; B <= C; A <= B; before: EDCBA=1011x after: EDCBA=11011 ( 옳음 ) ( 옳음 ) 27 Blocking vs. Nonblocking Assignments Multiple assignments 에서 LHS 와 RHS 간에 depency 가 없는경우 : blocking, nonblocking 모두사용가능 존재하는경우 : 순서에영향이있음 concurrent 동작모델 : nonblocking 사용 algorithmic 동작모델 : blocking 사용 권장하는용도 Nonblocking assignment: edge-sensitive operation (synchronous 동작, register 출력저장 ) Blocking assignment: 조합회로출력 28

8 Port Names: Style Module 의 port name 의순서 정해진규칙은없음 권장순서 다음순서 ( 출력우선 ) 또는역순 ( 입력우선 ) bidirectional datapath signals bidirectional control signals datapath outputs control outputs datapath inputs control inputs synchronizing signals 29

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

슬라이드 1

슬라이드 1 보안회로설계 순차회로 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 조합과순차 조합회로 (combinational circuit) Memory가없다. 입력한값에따른출력 출력 = f ( 입력 ) 순차회로 (sequential circuit) Memory가있다. Memory에는회로의현상태가저장 출력은입력과현상태에의해결정

More information

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a [2010 년디지털시스템설계및실험중간고사 1 답안지 ] 출제 : 채수익 Verilog 문법채점기준 ( 따로문제의채점기준에명시되어있지않아도적용되어있음 ) (a) output이 always 문에서사용된경우, reg로선언하지않은경우 (-1 pts) (b) reg, wire를혼동하여사용한경우 (-1 pts) (c) always @( ) 에서모든 input을 sensitivity

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

Microsoft PowerPoint - verilog문법new.ppt

Microsoft PowerPoint - verilog문법new.ppt Verilog HDL Syntax HDL 이란? HDL(Hardware Description Language) VLSI 설계가복잡도증가및 time-to-market 감소 GLM 의 schematic 설계불가능 HDL 언어를이용한시스템및회로수준구현보편화 하드웨어기술언어논리회로의프로그래밍언어에의한표현네트리스트및프로그래밍언어적표현 다양한하드웨어설계방법지원 Structural

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

<3230313320B5BFBEC6BDC3BEC6BBE74542532E687770>

<3230313320B5BFBEC6BDC3BEC6BBE74542532E687770> 58 59 북로남왜 16세기 중반 동아시아 국제 질서를 흔든 계기는 북로남 왜였다. 북로는 북쪽 몽골의 타타르와 오이라트, 남왜는 남쪽의 왜구를 말한다. 나가시노 전투 1. 16세기 동아시아 정세(임진전쟁 전) (1) 명 1 북로남왜( 北 虜 南 倭 ) : 16세기 북방 몽골족(만리장성 구축)과 남쪽 왜구의 침입 2 장거정의 개혁 : 토지 장량(토지 조사)와

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

Microsoft PowerPoint - Verilog_Summary.ppt

Microsoft PowerPoint - Verilog_Summary.ppt Verilog HDL Summury by 강석태 2006 년 3 월 1 Module module < 모듈이름 >(< 포트리스트 >) < 모듈내용 > endmodule C 언어의함수 (Function) 와같은개념. 대소문자구분. 예약어는소문자로만쓴다. 이름은영문자, 숫자, 언더바 (_) 만허용한다. 문장의끝은항상세미콜론 (;) 으로끝난다. end~ 로시작하는예약어에는

More information

제1강 비정규직 근로자 고용과 노무관리 실무 Ⅰ. 비정규직 근로자의 개요 11 Ⅱ. 기간제 근로자의 노무관리 13 Ⅲ. 단시간 근로자와 노무관리 22 Ⅳ. 근로자 파견 27 Ⅴ. 비정규직 근로자 차별금지 34 Ⅵ. 비정규직 보호법률 관련실무 Q&A 40 근로계약서 관련서식 45 제2강 주40시간 근무제 도입과 복무관리 실무 Ⅰ. 근로시간 단축이유 및 기대효과

More information

MAX+plusⅡ를 이용한 설계

MAX+plusⅡ를 이용한 설계 Digital System Design with Verilog HDL - Combinational Logic Lab. Gate Circuit AND, OR, NOT 게이트들로이루어진멀티플렉서기능의논리회로구현멀티플렉서 : 여러개의입력중하나를선택하여출력하는기능모듈입력 s=: 단자 a 의값이단자 z 로출력입력 s=: 단자 b 의값이단자 z 로출력 File name

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

15강 판소리계 소설 심청전 다음 글을 읽고 물음에 답하시오. [1106월 평가원] 1)심청이 수궁에 머물 적에 옥황상제의 명이니 거행이 오죽 하랴. 2) 사해 용왕이 다 각기 시녀를 보내어 아침저녁으로 문 안하고, 번갈아 당번을 서서 문안하고 호위하며, 금수능라 비

15강 판소리계 소설 심청전 다음 글을 읽고 물음에 답하시오. [1106월 평가원] 1)심청이 수궁에 머물 적에 옥황상제의 명이니 거행이 오죽 하랴. 2) 사해 용왕이 다 각기 시녀를 보내어 아침저녁으로 문 안하고, 번갈아 당번을 서서 문안하고 호위하며, 금수능라 비 14강 역사영웅소설 15강 판소리계 소설 판소리계 소설 : , 등 일반적으로 판소리 사설의 영향을 받아 소설로 정착된 작품을 가리킨 판소리 : , , , , 등이 사설과 창이 전해지고 있 하층민의 예술로 시작하여 전계층을 아우르는 예술이 되었 상류층, 지배층이 향유층이 되면서 점차 작품의 주제가

More information

며 오스본을 중심으로 한 작은 정부, 시장 개혁정책을 밀고 나갔다. 이에 대응 하여 노동당은 보수당과 극명히 반대되는 정강 정책을 내세웠다. 영국의 정치 상황은 새누리당과 더불어 민주당, 국민의당이 서로 경제 민주화 와 무차별적 복지공약을 앞세우며 표를 구걸하기 위한

며 오스본을 중심으로 한 작은 정부, 시장 개혁정책을 밀고 나갔다. 이에 대응 하여 노동당은 보수당과 극명히 반대되는 정강 정책을 내세웠다. 영국의 정치 상황은 새누리당과 더불어 민주당, 국민의당이 서로 경제 민주화 와 무차별적 복지공약을 앞세우며 표를 구걸하기 위한 4.13 총선, 캐머런과 오스본, 영국 보수당을 생각하다 정 영 동 중앙대 경제학과 자유경제원 인턴 우물 안 개구리인 한국 정치권의 4.13 총선이 한 달도 남지 않았다. 하지만 정당 간 정책 선거는 실종되고 오로지 표를 얻기 위한 이전투구식 경쟁이 심 화되고 있다. 정말 한심한 상황이다. 정당들은 각 당이 추구하는 이념과 정강 정책, 목표를 명확히 하고,

More information

v6.hwp

v6.hwp 93 6 장순차회로모델링 이장에서는앞에서배운여러가지모델링방법에대한지식을바탕으로많이사용되는기본적인순차회로블록들의모델링과순차회로설계방법에대해서배운다. 6. 레지스터 레지스터는 n-bit 데이터를저장하는기억소자이다. 데이터의저장은클럭에동기가되어이루어진다. 그림 6.은전형적인레지스터의블록도와동작표이다. register D D D2 D3 Load Reset Q Q Q2

More information

부벽루 이색 핵심정리+핵심문제.hwp

부벽루 이색 핵심정리+핵심문제.hwp 부벽루 - 이색 알맹이 정리 시 대 : 고려말 갈 래 : 5언 율시 성 격 : 회고적 표 현 : 어 조 : 지난날의 찬연한 역사를 회고하며 그와 대비되는 현재의 모습에서 무상감에 젖어 있 운 율 : 압운(루, 추, 유, 류) 특 징 : 장엄한 표현. 시간의 흐름을 시각적 이미 지로 표현(4연) 주 제 : 지난 역사의 회고와 고려 국운 회복의 소 망 작가소개

More information

우리나라의 전통문화에는 무엇이 있는지 알아봅시다. 우리나라의 전통문화를 체험합시다. 우리나라의 전통문화를 소중히 여기는 마음을 가집시다. 5. 우리 옷 한복의 특징 자료 3 참고 남자와 여자가 입는 한복의 종류 가 달랐다는 것을 알려 준다. 85쪽 문제 8, 9 자료

우리나라의 전통문화에는 무엇이 있는지 알아봅시다. 우리나라의 전통문화를 체험합시다. 우리나라의 전통문화를 소중히 여기는 마음을 가집시다. 5. 우리 옷 한복의 특징 자료 3 참고 남자와 여자가 입는 한복의 종류 가 달랐다는 것을 알려 준다. 85쪽 문제 8, 9 자료 통합 우리나라 ⑵ 조상님들이 살던 집에 대 해 아는 어린이 있나요? 저요. 온돌로 난방과 취사를 같이 했어요! 네, 맞아요. 그리고 조상님들은 기와집과 초가집에서 살았어요. 주무르거나 말아서 만들 수 있는 전통 그릇도 우리의 전통문화예요. 그리고 우리 옷인 한복은 참 아름 답죠? 여자는 저고리와 치마, 남자는 바지와 조끼를 입어요. 명절에 한복을 입고 절을

More information

상품 전단지

상품 전단지 2013 2013 추석맞이 추석맞이 지역우수상품 안내 안내 지역우수상품 지역 우수상품을 안내하여 드리오니 명절 및 행사용 선물로 많이 활용하여 주시기 바랍니다. 지역우수상품을 구입하시면 지역경제가 살아납니다. 즐거운 한가위 보내시고, 복 많이 받으세요! - 경기동부상공회의소 임직원 일동 - 지역우수상품을 구입하시면 지역경제가 살아납니다.

More information

::: 해당사항이 없을 경우 무 표시하시기 바랍니다. 검토항목 검 토 여 부 ( 표시) 시 민 : 유 ( ) 무 시 민 참 여 고 려 사 항 이 해 당 사 자 : 유 ( ) 무 전 문 가 : 유 ( ) 무 옴 브 즈 만 : 유 ( ) 무 법 령 규 정 : 교통 환경 재

::: 해당사항이 없을 경우 무 표시하시기 바랍니다. 검토항목 검 토 여 부 ( 표시) 시 민 : 유 ( ) 무 시 민 참 여 고 려 사 항 이 해 당 사 자 : 유 ( ) 무 전 문 가 : 유 ( ) 무 옴 브 즈 만 : 유 ( ) 무 법 령 규 정 : 교통 환경 재 시 민 문서번호 어르신복지과-1198 주무관 재가복지팀장 어르신복지과장 복지정책관 복지건강실장 결재일자 2013.1.18. 공개여부 방침번호 대시민공개 협 조 2013년 재가노인지원센터 운영 지원 계획 2013. 01. 복지건강실 (어르신복지과) ::: 해당사항이 없을 경우 무 표시하시기 바랍니다. 검토항목 검 토 여 부 ( 표시) 시 민 : 유 ( ) 무

More information

2

2 1 2 3 4 5 6 또한 같은 탈북자가 소유하고 있던 이라고 할수 있는 또 한장의 사진도 테루꼬양이라고 보고있다. 二宮喜一 (니노미야 요시가즈). 1938 년 1 월 15 일생. 신장 156~7 센치. 체중 52 키로. 몸은 여윈형이고 얼굴은 긴형. 1962 년 9 월경 도꾜도 시나가와구에서 실종. 당시 24 세. 직업 회사원. 밤에는 전문학교에

More information

화이련(華以戀) 141001.hwp

화이련(華以戀) 141001.hwp 年 花 下 理 芳 盟 段 流 無 限 情 惜 別 沈 頭 兒 膝 夜 深 雲 約 三 십년을 꽃 아래서 아름다운 맹세 지키니 한 가닥 풍류는 끝없는 정이어라. 그대의 무릎에 누워 애틋하게 이별하니 밤은 깊어 구름과 빗속에서 삼생을 기약하네. * 들어가는 글 파르라니 머리를 깎은 아이가 시린 손을 호호 불며 불 옆에 앉아 있다. 얼음장 같은 날씨에 허연 입김이 연기처럼

More information

ÆòÈ�´©¸® 94È£ ³»Áö_ÃÖÁ¾

ÆòÈ�´©¸® 94È£ ³»Áö_ÃÖÁ¾ 사람 안간힘을 다해 행복해지고 싶었던 사람, 허세욱을 그리다 - 허세욱 평전 작가 송기역 - 서울 평통사 노동분회원 허세욱. 효순이 미선이의 억울한 죽음에 대 해 미국은 사죄하라는 투쟁의 현장에 서 그 분을 처음 만났다. 평택 대추리 의 넓은 들판을 두 소녀의 목숨을 앗 아간 미군들에게 또 빼앗길 순 없다며 만들어 온 현수막을 대추초교에 같이 걸었다. 2007년

More information

歯1##01.PDF

歯1##01.PDF 1.? 1.?,..,.,. 19 1.,,..,. 20 1.?.,.,,...,.,..,. 21 1,.,.,. ( ),. 10 1? 2.5%. 1 40. 22 1.? 40 1 (40 2.5% 1 ). 10 40 4., 4..,... 1997 ( ) 12. 4.6% (26.6%), (19.8%), (11.8%) 23 1. (?).. < >..,..!!! 24 2.

More information

<5BC1F8C7E0C1DF2D31B1C75D2DBCF6C1A4BABB2E687770>

<5BC1F8C7E0C1DF2D31B1C75D2DBCF6C1A4BABB2E687770> 제3편 정 치 제3편 정치 제1장 의회 제1절 의회 기구 제2절 의회기구 및 직원 현황 자치행정전문위원회 자치행정전문위원 산업건설위원회 산업건설전문위원 제1장 의회 321 제3절 의회 현황 1. 제1대 고창군의회 제1대 고창군의회 의원 현황 직 위 성 명 생년월일 주 소 비 고 322 제3편 정치 2. 제2대 고창군의회 제2대 고창군의회 의원 현황 직 위

More information

120229(00)(1~3).indd

120229(00)(1~3).indd 법 률 국회에서 의결된 공직선거법 일부개정법률을 이에 공포한다. 대 통 령 이 명 박 2012년 2월 29일 국 무 총 리 김 황 식 국 무 위 원 행정안전부 맹 형 규 장 관 (중앙선거관리위원회 소관) 법률 제11374호 공직선거법 일부개정법률 공직선거법 일부를 다음과 같이 개정한다. 제21조제1항에 단서를 다음과 같이 신설한다. 다만,세종특별자치시의 지역구국회의원

More information

01Report_210-4.hwp

01Report_210-4.hwp 연구보고서 210-4 해방 후 한국여성의 정치참여 현황과 향후 과제 한국여성개발원 목 차 Ⅰ 서 론 Ⅱ 국회 및 지방의회에서의 여성참여 Ⅲ 정당조직내 여성참여 및 정당의 여성정책 Ⅳ 여성유권자의 투표율 및 투표행태 Ⅴ 여성단체의 여성정치참여 확대를 위한 운동 Ⅵ 여성의 정치참여 확대를 위한 향후 과제 참고문헌 부 록 표 목 차 Ⅰ 서 론 . 서론 1.

More information

<C3D1BCB15FC0CCC8C45FBFECB8AE5FB1B3C0B0C0C75FB9E6C7E228323031362D352D32315FC5E4292E687770>

<C3D1BCB15FC0CCC8C45FBFECB8AE5FB1B3C0B0C0C75FB9E6C7E228323031362D352D32315FC5E4292E687770> 총선 이후 우리 교육의 방향 당 체제에서 우리 교육의 전망과 교육행정가들의 역할 박 호 근 서울시의회 의원 교육위원회 위원 서론 년 월 일 제 대 국회의원 선거가 치러졌다 선거는 바로 민의 의 반영이기 때문에 총선결과를 살펴보고 왜 이러한 결과가 나왔는가를 분석해 본 후 년 월 일을 기점으로 제 대 국회의원들의 임기가 시 작되는 상황에서 우리 교육이 어떻게

More information

목 차 營 下 面 5 前 所 面 71 後 所 面 153 三 木 面 263 龍 流 面 285 都 已 上 條 367 同 治 六 年 (1867) 正 月 日 永 宗 防 營 今 丁 卯 式 帳 籍 범례 1. 훼손 등의 이유로 판독이 불가능한 글자는 로 표기함. 단, 비정 이 가능한 경우는 ( ) 안에 표기함. 2. 원본에서 누락된 글자는 [ ] 안에 표기함. 단, 누락된

More information

639..-1

639..-1 제639호 [주간] 2014년 12월 15일(월요일) http://gurotoday.com http://cafe.daum.net/gorotoday 문의 02-830-0905 대입 준비에 지친 수험생 여러분 힘내세요 신도림테크노마트서 수험생과 학부모 600명 대상 대입설명회 구로아트밸리서는 수험생 1,000명 초대 해피 콘서트 열려 구로구가 대입 준비로 지친

More information

교육 과 학기 술부 고 시 제 20 11-36 1호 초 중등교육법 제23조 제2항에 의거하여 초 중등학교 교육과정을 다음과 같이 고시합니다. 2011년 8월 9일 교육과학기술부장관 1. 초 중등학교 교육과정 총론은 별책 1 과 같습니다. 2. 초등학교 교육과정은 별책

교육 과 학기 술부 고 시 제 20 11-36 1호 초 중등교육법 제23조 제2항에 의거하여 초 중등학교 교육과정을 다음과 같이 고시합니다. 2011년 8월 9일 교육과학기술부장관 1. 초 중등학교 교육과정 총론은 별책 1 과 같습니다. 2. 초등학교 교육과정은 별책 교육과학기술부 고시 제 2011 361호 [별책 3] 중학교 교육과정 교육 과 학기 술부 고 시 제 20 11-36 1호 초 중등교육법 제23조 제2항에 의거하여 초 중등학교 교육과정을 다음과 같이 고시합니다. 2011년 8월 9일 교육과학기술부장관 1. 초 중등학교 교육과정 총론은 별책 1 과 같습니다. 2. 초등학교 교육과정은 별책 2 와 같습니다. 3.

More information

시험지 출제 양식

시험지 출제 양식 2013학년도 제2학기 제1차 세계사 지필평가 계 부장 교감 교장 2013년 8월 30일 2, 3교시 제 3학년 인문 (2, 3, 4, 5)반 출제교사 : 백종원 이 시험 문제의 저작권은 풍암고등학교에 있습니다. 저 작권법에 의해 보호받는 저작물이므로 전재와 복제는 금지 되며, 이를 어길 시 저작권법에 의거 처벌될 수 있습니다. 3. 전근대 시기 (가)~(라)

More information

¸é¸ñ¼Ò½ÄÁö 63È£_³»Áö ÃÖÁ¾

¸é¸ñ¼Ò½ÄÁö 63È£_³»Áö ÃÖÁ¾ 정보나눔 섭이와 함께하는 여행 임강섭 복지과 과장 여름이다. 휴가철이다. 다 들 어디론가 떠날 준비에 마음 이 들떠 있는 시기가 아닌가 싶다. 여행 매니아까지는 아니 지만, 나름 여행을 즐기는 사 람으로서 가족들과 신나는 휴 가를 보낼 계획에 살짝 들떠 있는 나에게 혼자만 신나지 말 고 같이 좀 신났으면 좋겠다며 가족들과 같이 가면 좋은 여행 눈이 시리도록

More information

177

177 176 177 178 179 180 181 182 183 184 185 186 187 188 (2) 양주조씨 사마방목에는 서천의 양주조씨가 1789년부터 1891년까지 5명이 합격하였다. 한산에서도 1777년부터 1864년까지 5명이 등재되었고, 비인에서도 1735년부터 1801년까지 4명이 올라있다. 서천지역 일대에 넓게 세거지를 마련하고 있었 던 것으로

More information

제주어 교육자료(중등)-작업.hwp

제주어 교육자료(중등)-작업.hwp 여는말 풀꽃, 제주어 제주어는 제주인의 향기입니다. 제주인의 삶의 손끝에서 피어나는 삶의 향기이고, 꿈의 내음입니다. 그분들이 어루만졌던 삶이 거칠었던 까닭에 더욱 향기롭고, 그 꿈이 애틋했기에 더욱 은은합니다. 제주어는 제주가 피워낸 풀잎입니다. 제주의 거친 땅에 뿌리를 내리고 싹을 틔우고, 비바람 맞고 자랐기에 더욱 질박합니다. 사철 싱그러운 들풀과 들꽃향기가

More information

<C3D6C1BE5FBBF5B1B9BEEEBBFDC8B0B0DCBFEFC8A32831333031323120C3D6C1BEBABB292E687770>

<C3D6C1BE5FBBF5B1B9BEEEBBFDC8B0B0DCBFEFC8A32831333031323120C3D6C1BEBABB292E687770> 우리 시의 향기 사랑하는 일과 닭고기를 씹는 일 최승자, 유 준 서울예술대학교 문예창작과 강사/문학평론가 한 숟갈의 밥, 한 방울의 눈물로 무엇을 채울 것인가, 밥을 눈물에 말아먹는다 한들. 그대가 아무리 나를 사랑한다 해도 혹은 내가 아무리 그대를 사랑한다 해도 나는 오늘의 닭고기를 씹어야 하고 나는 오늘의 눈물을 삼켜야 한다.

More information

초등국어에서 관용표현 지도 방안 연구

초등국어에서 관용표현 지도 방안 연구 80 < 관용 표현 인지도> 남 여 70 60 50 40 30 20 10 0 1 2 3 4 5 6 70 < 관용 표현 사용 정도> 남 여 60 50 40 30 20 10 0 4학년 가끔쓴다 써본적있다 전혀안쓴다 5학년 가끔쓴다 써본적있다 전혀안쓴다 6학년 가끔쓴다 써본적있다 전혀안쓴다 70 < 속담 인지도> 남 여 60 50 40 30 20 10 0 1 2

More information

6±Ç¸ñÂ÷

6±Ç¸ñÂ÷ 6 6 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 과천심상소학교 졸업증서(문헌번호 03-004) 일제강점기 과천초등학교의 유일한 한국인 교장이었던 맹준섭임을 알 수 있다.

More information

과 위 가 오는 경우에는 앞말 받침을 대표음으로 바꾼 [다가페]와 [흐귀 에]가 올바른 발음이 [안자서], [할튼], [업쓰므로], [절믐] 풀이 자음으로 끝나는 말인 앉- 과 핥-, 없-, 젊- 에 각각 모음으로 시작하는 형식형태소인 -아서, -은, -으므로, -음

과 위 가 오는 경우에는 앞말 받침을 대표음으로 바꾼 [다가페]와 [흐귀 에]가 올바른 발음이 [안자서], [할튼], [업쓰므로], [절믐] 풀이 자음으로 끝나는 말인 앉- 과 핥-, 없-, 젊- 에 각각 모음으로 시작하는 형식형태소인 -아서, -은, -으므로, -음 . 음운 [ㄱ] [국], [박], [부억], [안팍] 받침의 발음 [ㄷ] [곧], [믿], [낟], [빋], [옫], [갇따], [히읃] [ㅂ] [숩], [입], [무릅] [ㄴ],[ㄹ],[ㅁ],[ㅇ] [간], [말], [섬], [공] 찾아보기. 음절 끝소리 규칙 (p. 6) [ㄱ] [넉], [목], [삭] [ㄴ] [안따], [안꼬] [ㄹ] [외골], [할꼬]

More information

민주장정-노동운동(분권).indd

민주장정-노동운동(분권).indd 민주장정 100년, 광주 전남지역 사회운동 연구 노동운동사 정 호 기 농민운동 1 목 차 제1장 연구 배경과 방법 07 1. 문제제기 2. 기존 연구의 검토 3. 연구 대상의 특성과 변화 4. 연구 자료와 연구 방법 07 10 12 16 제2장 이승만 정부 시대의 노동조합운동 19 1. 이승만 정부의 노동정책과 대한노총 1) 노동 관련 법률들의 제정과 광주

More information

<C0CEBCE2BABB2D33C2F7BCF6C1A420B1B9BFAAC3D1BCAD203130B1C72E687770>

<C0CEBCE2BABB2D33C2F7BCF6C1A420B1B9BFAAC3D1BCAD203130B1C72E687770> 해제 면양행견일기 沔 陽 行 遣 日 記 이 자료는 한말의 개화파 관료, 김윤식 金 允 植 (1835~1922)이 충청도 면천 沔 川 에 유배하면서 동학농민혁명 시기에 전문 傳 聞 한 것을 일일이 기록한 일기책 이다. 수록한 부분은 속음청사 續 陰 晴 史 의 권 7로 내제 內 題 가 면양행견일기 沔 陽 行 遣 日 記 로 되어 있는 부분 가운데 계사년 癸 巳 年

More information

조선왕조 능 원 묘 기본 사료집 -부록 : 능 원 묘의 현대적 명칭표기 기준안 차 례 서 장 : 조선왕실의 능 원 묘 제도 11 제 1부 능 원 묘 기본 사료 Ⅰ. 능호( 陵 號 ) 및 묘호( 廟 號 )를 결정한 유래 1. 건원릉( 健 元 陵 ) 21 2. 정릉( 貞 陵 ) 22 3. 헌릉( 獻 陵 )

More information

E1-정답및풀이(1~24)ok

E1-정답및풀이(1~24)ok 초등 2 학년 1주 2 2주 7 3주 12 4주 17 부록` 국어 능력 인증 시험 22 1주 1. 느낌을 말해요 1 ⑴ ᄂ ⑵ ᄀ 1 8~13쪽 듣기 말하기/쓰기 1 ` 2 ` 3 참고 ` 4 5 5 5 ` 6 4 ` 7 참고 ` 8 일기 ` 9 5 10 1 11, 3 [1~3] 들려줄 내용 옛날 옛날, 깊은 산골짜기에 큰 호랑이 한 마리가 살고 있었습 이

More information

<C1B6BCB1B4EBBCBCBDC3B1E2342DC3D6C1BE2E687770>

<C1B6BCB1B4EBBCBCBDC3B1E2342DC3D6C1BE2E687770> 권2 동경잡기 東京雜記 동경잡기 173 권2 불우 佛宇 영묘사(靈妙寺) 부(府)의 서쪽 5리(里)에 있다. 당 나라 정관(貞觀) 6년(632) 에 신라의 선덕왕(善德王)이 창건하였다. 불전(佛殿)은 3층인데 체제가 특이하다. 속설에 절터는 본래 큰 연못이었는데, 두두리(豆豆里) 사람들이 하룻밤 만에 메 우고 드디어 이 불전을 세웠다. 고 전한다. 지금은

More information

<32303132BDC3BAB8C1A4B1D4C6C75BC8A3BFDC303530395D2E687770>

<32303132BDC3BAB8C1A4B1D4C6C75BC8A3BFDC303530395D2E687770> 조 례 익산시 조례 제1220호 익산시 주민감사 청구에 관한 조례 일부개정조례 1 익산시 조례 제1221호 익산시 제안제도 운영조례 일부개정조례 3 익산시 조례 제1222호 익산시 시채에 관한 조례 폐지조례 12 익산시 조례 제1223호 익산시 시세 감면 조례 전부개정조례 13 익산시 조례 제1224호 익산시 행정기구설치조례 19 익산시 조례 제1225호 익산시

More information

38--18--최우석.hwp

38--18--최우석.hwp 古 詩 源 < 顔 延 之 > 篇 譯 註 * 崔 宇 錫 1) 1. 序 文 2. 古 詩 源 < 顔 延 之 > 篇 譯 註 3. 結 語 1. 序 文 沈 德 潛 (1673-1769)의 字 는 確 士 이고 號 는 歸 愚 이다. 江 南 長 洲 (현재의 江 蘇 省 蘇 州 ) 사람으로 淸 代 聖 祖, 世 宗, 高 宗 삼대를 모두 거쳤다. 특히 시를 몹 시 좋아한

More information

교사용지도서_쓰기.hwp

교사용지도서_쓰기.hwp 1. 재미있는 글자 단원의 구성 의도 이 단원은 도비와 깨비가 길을 잃고 헤매다 글자 공부의 필요성을 느끼고 글자 공부를 하게 되는 것으로 시작된다. 자칫 지겨울 수 있는 쓰기 공부를 다양한 놀이 위주의 활동으로 구성하였고, 학습자 주변의 다양한 자료들을 활용함으로써 학습에 대한 흥미를 갖고 활동할 수 있게 하였다. 각 단계의 학습을 마칠 때마다 도깨비 연필을

More information

時 習 說 ) 5), 원호설( 元 昊 說 ) 6) 등이 있다. 7) 이 가운데 임제설에 동의하는바, 상세한 논의는 황패강의 논의로 미루나 그의 논의에 논거로서 빠져 있는 부분을 보강하여 임제설에 대한 변증( 辨 證 )을 덧붙이고자 한다. 우선, 다음의 인용문을 보도록

時 習 說 ) 5), 원호설( 元 昊 說 ) 6) 등이 있다. 7) 이 가운데 임제설에 동의하는바, 상세한 논의는 황패강의 논의로 미루나 그의 논의에 논거로서 빠져 있는 부분을 보강하여 임제설에 대한 변증( 辨 證 )을 덧붙이고자 한다. 우선, 다음의 인용문을 보도록 과 임제 신해진(전남대) 1. 머리말 세조의 왕위찬탈과 단종복위 과정에서의 사육신을 소재로 한 작품은 남효온( 南 孝 溫 )의 (1492년 직전?), 임제( 林 悌 )의 (1576?), 김수민( 金 壽 民 )의 (1757) 등이 있다. 1) 첫 작품은 집전( 集

More information

0429bodo.hwp

0429bodo.hwp 친일인명사전 수록대상자 명단 친일인명사전편찬위원회 ㄱ ㄴ ㄷ ㄹ ㅁ ㅂ ㅅ ㅇ ㅈ ㅊ ㅋ ㅌ ㅍ ㅎ 이 명단은 친일인명사전 수록대상자의 후손 또는 연고자로부터 이의신청을 받기 위해 작성 되었습니다. 이 인물정보를 무단 복사하여 유포하거나 인터넷을 통해 전 파하는일체의행위는법에저촉될수있습니다. 주요 훈포상 약어 1. 병합기념장 2. 대정대례기념장 3. 소화대례기념장

More information

cls46-06(심우영).hwp

cls46-06(심우영).hwp 蘇 州 원림의 景 名 연구 * 用 典 한 경명을 중심으로 1)심우영 ** 목 차 Ⅰ. 서론 Ⅱ. 기존의 경명 命 名 法 Ⅲ. 귀납적 결과에 따른 경명 분류 1. 신화전설 역사고사 2. 文 辭, 詩 句 Ⅳ. 결론 Ⅰ. 서론 景 名 이란 景 觀 題 名 (경관에 붙인 이름) 의 준말로, 볼만한 경치 지구와 경치 지 점 그리고 경치 지구 내 세워진 인공물에 붙여진

More information

伐)이라고 하였는데, 라자(羅字)는 나자(那字)로 쓰기도 하고 야자(耶字)로 쓰기도 한다. 또 서벌(徐伐)이라고도 한다. 세속에서 경자(京字)를 새겨 서벌(徐伐)이라고 한다. 이 때문에 또 사라(斯羅)라고 하기도 하고, 또 사로(斯盧)라고 하기도 한다. 재위 기간은 6

伐)이라고 하였는데, 라자(羅字)는 나자(那字)로 쓰기도 하고 야자(耶字)로 쓰기도 한다. 또 서벌(徐伐)이라고도 한다. 세속에서 경자(京字)를 새겨 서벌(徐伐)이라고 한다. 이 때문에 또 사라(斯羅)라고 하기도 하고, 또 사로(斯盧)라고 하기도 한다. 재위 기간은 6 동경잡기東京雜記 권1 진한기辰韓紀 경상도는 본래 진한(辰韓)의 땅인데, 뒤에 신라(新羅)의 소유가 되었다. 여지승 람(輿地勝覽) 에 나온다. 진한은 마한(馬韓)의 동쪽에 있다. 스스로 말하기를, 망 명한 진(秦)나라 사람이 난리를 피하여 한(韓)으로 들어오니 한이 동쪽 경계를 분할 하여 주었으므로 성책(城栅)을 세웠다. 하였다. 그 언어가 진나라 사람과 비슷하다.

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Microsoft PowerPoint - CHAP-01 [호환 모드]

Microsoft PowerPoint - CHAP-01 [호환 모드] 컴퓨터구성 Lecture #2 Chapter : Digital Logic Circuits Spring, 203 컴퓨터구성 : Spring, 203: No. - Digital Computer Definition Digital vs. nalog Digital computer is a digital system that performs various computational

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

장: 200 세외수입 관: 210 경상적세외수입 항: 213 수수료수입 (단위:천원) [ 일반회계 ] 1,405,842 1,399,860 5,982 < 청소행정과 > 1,028,442 1,022,460 5,982 사업장종량제봉투 제작비용(30L) 79.43원*30,00

장: 200 세외수입 관: 210 경상적세외수입 항: 213 수수료수입 (단위:천원) [ 일반회계 ] 1,405,842 1,399,860 5,982 < 청소행정과 > 1,028,442 1,022,460 5,982 사업장종량제봉투 제작비용(30L) 79.43원*30,00 세 입 예 산 사 업 명 세 서 2012년도 추경 1 회 일반회계 장: 200 세외수입 관: 210 경상적세외수입 항: 211 재산임대수입 (단위:천원) 총 계 229,189,161 206,518,334 22,670,827 200 세외수입 37,791,891 27,857,514 9,934,377 210 경상적세외수입 12,618,598 12,749,105 130,507

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

도 1 명세서 도면의 간단한 설명 도 1은 본 발명의 바람직한 실시예에 따른 데이터 송수신 장치의 회로도이다. 도 2는 도 1에 도시된 등화기의 일 실시예를 보여주는 회로도이다. 도 3은 도 1에 도시된 프리엠퍼시스 회로의 일 실시예를 보여주는 회로도이다. 도 4는 본

도 1 명세서 도면의 간단한 설명 도 1은 본 발명의 바람직한 실시예에 따른 데이터 송수신 장치의 회로도이다. 도 2는 도 1에 도시된 등화기의 일 실시예를 보여주는 회로도이다. 도 3은 도 1에 도시된 프리엠퍼시스 회로의 일 실시예를 보여주는 회로도이다. 도 4는 본 (51) Int. Cl. 7 H04B 3/04 (19)대한민국특허청(KR) (12) 등록특허공보(B1) (45) 공고일자 (11) 등록번호 (24) 등록일자 2005년08월24일 10-0509949 2005년08월17일 (21) 출원번호 10-2003-0082348 (65) 공개번호 10-2005-0048423 (22) 출원일자 2003년11월19일 (43)

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

Microsoft PowerPoint - DSD02_verilog2b.pptx

Microsoft PowerPoint - DSD02_verilog2b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 구조적모델링 1. 모듈인스턴스와포트사양 2. 프리미티브게이트 3. 게이트지연시간 4. 파라미터 5. 인스턴스배열 6. generate 블록 2. 데이터플로우모델링 1. 연속할당문 2. 할당지연 3. 동작적모델링 1. 절차형블록 2. 절차형할당문 3. if~else문 4. case 문 5. 반복문

More information

슬라이드 1

슬라이드 1 보안회로설계 모델심설치 & Verilog testbench 기초문법 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 모델심설치 ModelSim ModelSim Made by Mentor HDL simulator VHDL, Verilog, System Verilog and optional SystemC HDL 에의해합성될회로의동작과정과결과예상

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec03.ppt [호환 모드] IC-CAD CAD 실험 Lecture 3 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 2 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

PowerPoint Template

PowerPoint Template JavaScript 회원정보 입력양식만들기 HTML & JavaScript Contents 1. Form 객체 2. 일반적인입력양식 3. 선택입력양식 4. 회원정보입력양식만들기 2 Form 객체 Form 객체 입력양식의틀이되는 태그에접근할수있도록지원 Document 객체의하위에위치 속성들은모두 태그의속성들의정보에관련된것

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

2014 변경 학사제도(학생안내문).hwp

2014 변경 학사제도(학생안내문).hwp 목 차 Ⅰ. 2014학년도 학사일정 및 보강주간 신설 2 Ⅱ. 교육과정 운영 (졸업이수학점 조정) 3 Ⅲ. 성적관련제도 변경 3 Ⅳ. 75분제 (블록시간표) 수업 5 Ⅴ. 졸업연장 제도 개선 운영 6 Ⅵ. 전과심사 제도 변경 7 Ⅶ. 지도교수제/Office Hour 8 Chap.Ⅰ 2014학년도 학사일정 및 보강주간 신설 2014년 1학기부터 보강주간 신설ㆍ운영

More information

<3130BAB9BDC428BCF6C1A4292E687770>

<3130BAB9BDC428BCF6C1A4292E687770> 檀 國 大 學 校 第 二 十 八 回 학 술 발 표 第 二 十 九 回 특 별 전 경기도 파주 出 土 성주이씨( 星 州 李 氏 ) 형보( 衡 輔 )의 부인 해평윤씨( 海 平 尹 氏 1660~1701) 服 飾 학술발표:2010. 11. 5(금) 13:00 ~ 17:30 단국대학교 인문관 소극장(210호) 특 별 전:2010. 11. 5(금) ~ 2010. 11.

More information

11민락초신문4호

11민락초신문4호 꿈을 키우는 민락 어린이 제2011-2호 민락초등학교 2011년 12월 21일 수요일 1 펴낸곳 : 민락초등학교 펴낸이 : 교 장 심상학 교 감 강옥성 교 감 김두환 교 사 김혜영 성실 근면 정직 4 8 0-8 6 1 경기도 의정부시 용현로 159번길 26 Tel. 031) 851-3813 Fax. 031) 851-3815 http://www.minrak.es.kr

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

이용자를 위하여 1. 본 보고서의 각종 지표는 강원도, 정부 각부처, 기타 국내 주요 기관에서 생산 한 통계를 이용하여 작성한 것으로서 각 통계표마다 그 출처를 주기하였음. 2. 일부 자료수치는 세목과 합계가 각각 반올림되었으므로 세목의 합이 합계와 일 치되지 않는 경우도 있음. 3. 통계표 및 도표의 내용 중에서 전년도판 수치와 일치되지 않는 것은 최근판에서

More information

제1절 조선시대 이전의 교육

제1절 조선시대 이전의 교육 제1절 우리 교육 약사 제2장 사천교육의 발자취 제1절 우리 교육 약사 1. 근대 이전의 교육 가. 고대의 교육 인류( 人 類 )가 이 지구상에 살면서부터 역사와 함께 교육( 敎 育 )은 어떠한 형태로든 지 존재하고 있었을 것이다. 우리 조상들이 언제부터 이곳에서 삶을 꾸려왔는지는 여 러 가지 유적과 유물로 나타나고 있다. 그 당시 우리조상들의 생활을 미루어

More information

사진 24 _ 종루지 전경(서북에서) 사진 25 _ 종루지 남측기단(동에서) 사진 26 _ 종루지 북측기단(서에서) 사진 27 _ 종루지 1차 건물지 초석 적심석 사진 28 _ 종루지 중심 방형적심 유 사진 29 _ 종루지 동측 계단석 <경루지> 위 치 탑지의 남북중심

사진 24 _ 종루지 전경(서북에서) 사진 25 _ 종루지 남측기단(동에서) 사진 26 _ 종루지 북측기단(서에서) 사진 27 _ 종루지 1차 건물지 초석 적심석 사진 28 _ 종루지 중심 방형적심 유 사진 29 _ 종루지 동측 계단석 <경루지> 위 치 탑지의 남북중심 하 출 입 시 설 형태 및 특징 제2차 시기 : 건물 4면 중앙에 각각 1개소씩 존재 - 남, 서, 북면의 기단 중앙에서는 계단지의 흔적이 뚜렷이 나타났으며 전면과 측면의 중앙칸에 위치 - 동서 기단 중앙에서는 계단 유인 계단우석( 階 段 隅 石 ) 받침지대석이 발견 - 계단너비는 동측면에서 발견된 계단우석 지대석의 크기와 위치를 근거로 약 2.06m - 면석과

More information

새만금세미나-1101-이양재.hwp

새만금세미나-1101-이양재.hwp 새만금지역의 합리적인 행정구역 결정방안 이 양 재 원광대학교 교수 Ⅰ. 시작하면서 행정경계의 획정 원칙은 국민 누가 보아도 공감할 수 있는 기준으로 결정 되어야 관련 지방자치단체와 시민들의 분쟁을 최소화할 수 있다는 것을 모 르는 이가 없을 것이다. 신생매립지의 관할에 대한 지방자치단체 간 분쟁(경기도 평택시와 충청남도 당진군, 전라남도 순천시와 전라남도 광양시

More information

??

?? 한국공항공사와 어린이재단이 함께하는 제2회 다문화가정 생활수기 공모전 수기집 대한민국 다문화가정의 행복과 사랑을 함께 만들어 갑니다. Contents 02 04 06 07 08 10 14 16 20 22 25 28 29 30 31 4 5 6 7 8 9 10 11 12 13 15 14 17 16 19 18 21 20 23 22 24 25 26 27 29 28

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

룩스문디.indd

룩스문디.indd 2012년 4월 29일 제 13 호 룩 스 문 디 나는 세상의 빛이다 (요한8:12) 100-120 서울 중구 정동3번지 대한성공회 서울주교좌성당 The Anglican Church of Sts.Mary&Nicholas [전화:02-730-6611/팩스:02-722-1516] 발행: 서울주교좌교회 사목단 (룩스문디 세상의 빛)는 서울주교좌교회의 사목단이 발행하는

More information

652

652 축 사 2003년 11월 5일 수요일 제 652 호 대구대신문 창간 39주년을 축하합니다! 알차고 당찬 대구대신문으로 지로자(指걟者)의 역할 우리 대학교의 대표적 언론매체인 대구대 신문이 오늘로 창간 서른 아홉 돌을 맞았습 니다. 정론직필을 사시로 삼고 꾸준히 언로 의 개척을 위해 땀흘려온 그 동안의 노고에 전 비호가족을 대표하여 축하의 뜻을 전하 는 바입니다.

More information

Microsoft PowerPoint - verilog_intro and project example_실험4까지 설명후 project 진행_66 [호환 모드]

Microsoft PowerPoint - verilog_intro and project example_실험4까지 설명후 project 진행_66 [호환 모드] Verilog HDL Intro. . Overview ..2 HDL HDL (hardware description language) : 하드웨어를기술하고시뮬레이션, 합성을하기위해고안된프로그래밍언어 ex.) Verilog HDL, VHDL Advantages of HDL - Easy to describe hardware system - Easy to convert

More information

歯20010629-001-1-조선일보.PDF

歯20010629-001-1-조선일보.PDF 6. 29 () 11:00 ( ) 20 0 1. 6. 29 11( ).(397-1941) 1. 2. 3. 4. 5. 1. 28, 60() (,, ) 30 619(, 6. 29) () 6 (,,,,, ),,, - 1 - < > (, ), () < > - 2 - 2.,,, 620,, - 3 - 3. ( ) 1,614,, 864 ( ) 1,6 14 864 () 734

More information

<33B1C7C3D6C1BEBABB28BCF6C1A42D31313135292E687770>

<33B1C7C3D6C1BEBABB28BCF6C1A42D31313135292E687770> 제 1 부 제1소위원회 (2) 충남지역(1) 부역혐의 민간인 희생 -당진군ㆍ홍성군ㆍ서산군(2)ㆍ예산군- 결정사안 1950. 9ㆍ28수복 후~1951. 1ㆍ4후퇴경 충청남도 당진 홍성 서산(2) 예산군에서 군 경에 의해 발생한 불법적인 민간인 희생으로 진실규명대상자 33명과 조사과정에서 인지된 자 151명이 희생된 사실을 또는 추정하여 진실규명으로 결정한 사례.

More information

<C1DFB1DE2842C7FC292E687770>

<C1DFB1DE2842C7FC292E687770> 무 단 전 재 금 함 2011년 3월 5일 시행 형별 제한 시간 다음 문제를 읽고 알맞은 답을 골라 답안카드의 답란 (1, 2, 3, 4)에 표기하시오. 수험번호 성 명 17. 信 : 1 面 ❷ 武 3 革 4 授 18. 下 : ❶ 三 2 羊 3 東 4 婦 19. 米 : 1 改 2 林 ❸ 貝 4 結 20. 料 : 1 銀 2 火 3 上 ❹ 見 [1 5] 다음 한자(

More information

96부산연주문화\(김창욱\)

96부산연주문화\(김창욱\) 96 1 96 3 4 1 5 2 ( ),, TV,,,,, 96 5,,,, 3, ), ( :,1991) ), ), 13 1 3 96 23, 41, 4 68 (1) 11, 1223, (3/18 ) ( ) 6, 1 (4/2 ) 16, ( ), 1 (5/3 ), ( ) ( ) 1 (2) 96 8 33 41 (4/25 ), (9/24 ), ( ) 961 (5/27 )

More information

???? 1

???? 1 제 124 호 9 3 와 신시가지를 어느 정도 파악하고 나면 제일 먼저 이 도시에서 언제나 활기가 넘 쳐나는 신시가지로 가게 된다. 그 중심에 는 티무르 공원이 있다. 이 공원을 중심으 로 티무르 박물관과 쇼핑 거리가 밀집돼 있다. 공원 중심에는 우즈베키스탄의 영 웅, 티무르 대제의 동상이 서 있다. 우즈베 키스탄을 여행하다 보면 어느 도시에서나 티무르의 동상이나

More information

목 차 국회 1 월 중 제 개정 법령 대통령령 7 건 ( 제정 -, 개정 7, 폐지 -) 1. 댐건설 및 주변지역지원 등에 관한 법률 시행령 일부개정 1 2. 지방공무원 수당 등에 관한 규정 일부개정 1 3. 경력단절여성등의 경제활동 촉진법 시행령 일부개정 2 4. 대

목 차 국회 1 월 중 제 개정 법령 대통령령 7 건 ( 제정 -, 개정 7, 폐지 -) 1. 댐건설 및 주변지역지원 등에 관한 법률 시행령 일부개정 1 2. 지방공무원 수당 등에 관한 규정 일부개정 1 3. 경력단절여성등의 경제활동 촉진법 시행령 일부개정 2 4. 대 목 차 국회 1 월 중 제 개정 법령 대통령령 7 건 ( 제정 -, 개정 7, 폐지 -) 1. 댐건설 및 주변지역지원 등에 관한 법률 시행령 일부개정 1 2. 지방공무원 수당 등에 관한 규정 일부개정 1 3. 경력단절여성등의 경제활동 촉진법 시행령 일부개정 2 4. 대도시권 광역교통관리에 관한 특별법 시행령 일부개정 3 5. 영유아보육법 시행령 일부개정 4

More information

<BAB0C3B7322E20B7CEB5E5B8CABCBCBACEB0FAC1A62E687770>

<BAB0C3B7322E20B7CEB5E5B8CABCBCBACEB0FAC1A62E687770> 별첨 2 1. 상품개발 자율성 제고 1 보험상품 신고제도 개선 1 2 표준약관제도 전면 정비 4 보험산업 경쟁력 강화 로드맵 세부 과제 3 보험상품 설계기준 자율화 5 4 부당상품 제조 판매에 대한 사후적 책임 강화 8 5 상품심의위원회 신설 9 6 배타적 사용권 확대 10 7 경험위험률 조정주기 자율성 확대 12 8 위험률 조정한도 폐지 13 9 위험률 안전할증

More information

종사연구자료-이야기방2014 7 18.hwp

종사연구자료-이야기방2014 7 18.hwp 차례 1~3쪽 머리말 4 1. 계대 연구자료 7 가. 증 문하시랑동평장사 하공진공 사적기 7 나. 족보 변천사항 9 1) 1416년 진양부원군 신도비 음기(陰記)상의 자손록 9 2) 1605년 을사보 9 3) 1698년 무인 중수보 9 4) 1719년 기해보 10 5) 1999년 판윤공 파보 10 - 계대 10 - 근거 사서 11 (1) 고려사 척록(高麗史摭錄)

More information

정 답 과 해 설 1 (1) 존중하고 배려하는 언어생활 주요 지문 한 번 더 본문 10~12쪽 01 2 02 5 03 [예시 답] 상대에게 상처를 주고 한 사 람의 삶을 파괴할 수도 있으며, 사회 전체의 분위기를 해쳐 여러 가지 사회 문제를 발생시킬 수 있다. 04 5

정 답 과 해 설 1 (1) 존중하고 배려하는 언어생활 주요 지문 한 번 더 본문 10~12쪽 01 2 02 5 03 [예시 답] 상대에게 상처를 주고 한 사 람의 삶을 파괴할 수도 있으며, 사회 전체의 분위기를 해쳐 여러 가지 사회 문제를 발생시킬 수 있다. 04 5 S I N S A G O 정답과 해설 채움 1. 마음을 나누는 삶 02 2. 효과적인 자료, 적절한 단어 11 3. 문학을 보는 눈 19 4. 보다 쉽게, 보다 분명하게 29 5. 생각 모으기, 단어 만들기 38 정 답 과 해 설 1 (1) 존중하고 배려하는 언어생활 주요 지문 한 번 더 본문 10~12쪽 01 2 02 5 03 [예시 답] 상대에게 상처를

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

<34B1C720C0CEB1C7C4A7C7D828C3D6C1BEC6EDC1FD30323138292D28BCF6C1A4292E687770>

<34B1C720C0CEB1C7C4A7C7D828C3D6C1BEC6EDC1FD30323138292D28BCF6C1A4292E687770> 이 조사보고서는 진실 화해를 위한 과거사정리 기본법 제32조제1항 규정에 따라 2008년 7월 9일부터 2009년 1월 5일까지의 진실 화해를위 한과거사정리위원회 활동을 대통령과 국회에 보고하기 위해 작성되었습 니다. 차례 제 3 부 인권침해규명위원회 사건 김세태 등에 대한 보안대의 불법구금 등 인권침해사건 11 오주석 간첩조작 의혹 사건 25 보안대의 가혹행위로

More information

행당중학교 감사 7급 12000001 ~ 12000616 성동구 왕십리로 189-2호선 한양대역 4번출구에서 도보로 3-4분 6721 윤중중학교 감사 7급 12000617 ~ 12000619 영등포구 여의동로 3길3 용강중학교 일반행정 9급 13000001 ~ 1300

행당중학교 감사 7급 12000001 ~ 12000616 성동구 왕십리로 189-2호선 한양대역 4번출구에서 도보로 3-4분 6721 윤중중학교 감사 7급 12000617 ~ 12000619 영등포구 여의동로 3길3 용강중학교 일반행정 9급 13000001 ~ 1300 2016년도 서울특별시 지방공무원 임용 필기시험 장소 시험장 교통편, 소요시간 등은 반드시 응시자 본인이 해당학교 인터넷 홈페이지 등을 통해 미리 꼭 확인 하시기 바랍니다 장애편의지원 대상자는 별도로 첨부된 엑셀파일에서 본인의 최종 편의지원 내역을 반드시 확인하시기 바랍니다. (장애편의지원 시험장 : 윤중중학교, 서울맹학교) 경신중학교 일반행정 7급 10001741

More information

160215

160215 [ 진경준, 대한민국 검사의 민낯! ] 진경준 검사 정봉주 : 진경준 검사장 사건이 충격적인가 봐요. 고위공직자 비리수사처 얘기도 나오는 걸 보니까. 왜 그래요, 느닷 없이? 김태규 : 공수처는 여러 검찰개혁안 중의 하나였죠. 검찰의 기 소독점주의를 견제하기 위해서는 공수처를 도입해야 한다 는 얘기가 오래 전부터 나왔고. 그런데 지금 정권이 레임 덕에 막 빠지려고

More information