Microsoft PowerPoint - ch08_ffs.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - ch08_ffs.pptx"

Transcription

1 Chapter 8 플립플롭 (Flip-Flop)

2 . Basic 플립플롭 v 플립플롭 (flip-flop) 과래치 (latch) 는두개의안정된 (bi-stable) 상태중하나를가지는 비트기억소자 v 플립플롭과래치도게이트로구성되지만조합논리회로와달리궤환 (feed back) 이있다. v 래치회로는플립플롭과유사한기능을수행 v 출력 와반전출력 를가진다. v 순차회로의상태를기억하는메모리소자로사용된다. 기본래치회로 NO 래치회로 NAND 래치회로 - 2 -

3 . NO 게이트로구성된 S- 래치 S (t+), 상태 (t), hold, reset, set invalid, 금지 진리표 () S =, =, Hold 상태 G G S G 2 S G 2 출력은이전상태유지 - 3 -

4 (2) S =, = 일때 (3) S =, = 일때 G G S G 2 S G 2 출력 : =, reset 상태 =, set 상태 S =, = (4) 일때 G S G 2 =, = 금지상태 - 4 -

5 예제 8- 아래그림과같은파형을 NO 게이트 S- 래치회로에인가하였을때, 출력 의파형을그려보아라. 단, 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. 시간 S

6 2. NAND 게이트로구성된 S- 래치 진리표 S (t+) () S S =, = G invalid, 금지, set, reset (t), hold G 2 출력 : 금지상태 ( =, = ) (2) S =, = (3) S =, = S G S G G 2 G 2 출력 : =, set 출력 : =, reset - 6 -

7 (4) S =, = 일때 S G S G G 2 G 2 출력은이전상태유지 예제 8-2 아래그림과같은파형을 NAND 게이트 S- 래치회로에인가하였을때, 출력 의파형을그려보아라. 단, 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. S - 7 -

8 S- 래치의응용예 v 래치 : 기계적인스위치에서일어나는접점 (contact) 의바운싱 (bouncing) 영향을제거하는데사용 ß 디바운싱 (debouncing) v 바운싱 : 기계적인스위치내부에존재하는스프링의탄성과접점면의불균일성때문에스위치를개폐하는경우여러번붙었다가떨어지는현상 스위치회로 래치를부가한스위치회로 이상적인출력 래치가없을때의출력 () 래치가있을때의출력 () 실제의출력 - 8 -

9 . 클록형 S- 플립플롭 2. S- 플립플롭 G 3 G S S G 4 G 2 = 이면동작하지않음 v 클록형 S- 플립플롭의동작상태 = 인경우에는 S 와 의입력에관계없이앞단의 AND 게이트 G 3 과 G 4 의출력이항상 이므로플립플롭의출력은불변. = 인경우에는 S 와 의입력이회로후단의 NO 게이트 G 과 G 2 의입력으로전달되어앞에서설명한 S- 래치와같은동작을수행

10 클록형 S- 플립플롭의진리표 S (t+) (t) 금지 S- 플립플롭의상태도 S (t) S (t+) 금지 금지 S S- 플립플롭의특성표 X X F/F 특성방정식 (characteristic equation) ( t + ) = S +, S = - -

11 q 클록형 S- 플립플롭 (NAND 형 ) S S 예제 8-3 다음그림과같은파형을클록형 S- 플립플롭에인가하였을때, 출력 의파형을그려보아라. 단, 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. S - -

12 2. 에지트리거 S- 플립플롭 v v v v 클록형 S- 플립플롭은궤환 (feedback) 이존재하는회로이고클록펄스가 인상태에서모든동작이수행된다. 플립플롭의동작시간보다도클록펄스의지속시간이길면플립플롭은여러번의동작이수행될수있다. 에지트리거 (edge trigger) 이용 트리거종류 레벨 (level) 트리거, 에지 (edge) 트리거 트리거 (trigger): 입력신호의순간적인변화 플립플롭 : 에지트리거를하는것. 래치 : 레벨트리거를하거나클록을사용하지않는것. 그러나총괄해서플립플롭으로부르기도한다

13 q 에지트리거링 상승에지 (positive edge) 하강에지 (negative edge) X F 펄스전이검출기 S X F 에지트리거 S- 플립플롭 펄스전이검출기회로 v S 와 입력을동기입력 (synchronous input) 이라함

14 q 에지트리거 S- 플립플롭의논리기호와특성표 상승에지트리거 S- 플립플롭 S (t+) (t) 금지 하강에지트리거 S- 플립플롭 S (t+) (t) 금지 - 4 -

15 예제 8-4 아래그림과같은파형을상승에지 S- 플립플롭에인가하였을때, 출력 의파형을그려보아라. 단, 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. S t t 2 t 3 t 4 t 5 t 6-5 -

16 3. 주종형 (master-slave) S- 플립플롭 - 에지트리거동작 S Master Y Slave = 주 F/F 은 = 이므로동작하지않음. 종 F/F 은동작하여 =Y, = Y Y = 주 F/F 에외부의 과 S 입력이전달종 F/F 은동작하지않음. S Y 주종형 S- 플립플롭의파형도 - 6 -

17 예제 8-5 그림과같은파형을주종형 S- 플립플롭에인가하였을때, 출력 의파형을그려보아라. 단, 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. S Y t t 2 t 3 t 4 t 5 t 6 t 7 t 8-7 -

18 3. D 플립플롭. 클록형 D 플립플롭 v 입력신호 D 가 에동기되어그대로출력에전달되는특성을가지고있음 v D 는데이터 (Data) 를전달, 또는지연 (Delay) 의의미 D G 3 G D G 5 G 4 G 2 동작 v =, D= 이면 G 3 의출력은, G 4 의출력은 이된다. 따라서 NAND 게이트로구성된 S- 래치의입력은 S=, = 이되므로 = v =, D= 이면 G 3 의출력은, G 4 의출력은 이된다. 따라서 S- 래치의입력은 S=, = 이되므로 = - 8 -

19 D 플립플롭의특성표 D (t) (t+) 동작설명 x Hold x Hold eset eset Set Set D ( t + ) = D D D 플립플롭의상태도 특성방정식 (characteristic equation) 예제 8-6 아래그림과같은파형을클록형 D 플립플롭에인가하였을때, 출력 의파형을그려보아라. 단, 는 로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. 시간 D - 9 -

20 2. 에지트리거 D 플립플롭 v 클록형 D 플립플롭의클록펄스입력에펄스전이검출기를추가하여구성 D D (t+) 상승에지트리거 D 플립플롭의논리기호및진리표 D D (t+) 하강에지트리거 D 플립플롭의논리기호및진리표 - 2 -

21 예제 8-7 다음과같이파형의신호가레벨트리거, 상승에지트리거그리고하강에지트리거를하는 D 플립플롭으로입력되는경우출력파형을그리시오. 단, 출력 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. D 레벨트리거 상승에지트리거 하강에지트리거 t t 2 t 3 t 4 t 5 t 6 t 7 t 8 t 9-2 -

22 q 7474(Dual 상승에지트리거 D 플립플롭 ) v P 과 CL 은 active low이며 P =이면입력 D나 에관계없이 =로되고또한 CL =이면 D나 에관계없이 =이된다 의핀배치도

23 3. 주종형 D 플립플롭 v Master 플립플롭의클록입력은클록펄스가그대로입력되고, Slave 플립플롭부분의클록입력에는반전된클록펄스가입력되도록구성 Master Slave D Y Y = : Slave 플립플롭은동작하여 =Y, Master 플립플롭은 =이므로동작하지않음. = : 외부의 D 입력이 Master 플립플롭에전달 Slave 플립플롭은동작하지않음

24 4. J-K 플립플롭 q J-K 플립플롭 v J-K F/F은 S- F/F의 S=, =인금지상태에서도동작하도록개선한회로 v J-K F/F의 J는 S(set) 에, K는 (reset) 에대응하는입력 v J=, K=인경우 J-K F/F의출력은이전출력의보수상태로변화, toggle J J K K J K (t+) (t), hold, reset, set (t), toggle J-K 플립플롭의진리표

25 (t) J K (t+) J-K 플립플롭특성표 JK ( t + ) = J + K 특성방정식 J K J-K 플립플롭상태도 예제 8-9 아래그림과같은파형을클록형 J-K 플립플롭에인가하였을때, 출력 의파형을그려보아라. 단, 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. 시간 J K

26 q 에지트리거 J-K 플립플롭의논리기호와특성표 J K J K (t+) (t), hold, reset, set (t), toggle 상승에지트리거 J-K 플립플롭 J K J K (t+) (t) (t) 하강에지트리거 J-K 플립플롭

27 예제 8- 아래그림과같은파형을상승에지 J-K 플립플롭에인가하였을때, 출력 의파형을그려보아라. 단, 는 로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. J K t t 2 t 3 t 4 t 5 t

28 q 주종형 J-K 플립플롭 v Master 플립플롭의클록입력은클록펄스가그대로입력되고, Slave 플립플롭부분의클록입력에는반전된클록펄스가입력되도록구성 Master Slave J Y K Y = : Slave 플립플롭은동작하여 =Y, Master 플립플롭은 =이므로동작하지않음. = : 외부의 J와 K 입력이 Master 플립플롭에전달 Slave 플립플롭은동작하지않음

29 q 7476(Dual 하강에지트리거주종형 J-K 플립플롭 ) v 카운터등에서가장널리쓰이는하강에지트리거주종형 J-K 플립플롭이 며, 2 개가하나의패키지안에들어있다 와마찬가지로비동기입력 인과단자가있다. P CL 7476 의핀배치도

30 q T 플립플롭 5. T 플립플롭 v J-K F/F의 J와 K 입력을묶어서하나의입력신호 T로동작 v J-K F/F 동작중에서입력이모두 (hold) 이거나 (toggle) 인경우만이용 v T 플립플롭의입력 T=이면, J=, K=와같으므로, 는 hold, T=이면, J=, K=과같으므로, 는 toggle 상태 T T T (t+) (t) (t) T 플립플롭특성표 - 3 -

31 (t) T (t+) T 특성방정식 ( t + ) = T + T T 플립플롭특성표 T T T 플립플롭상태도 t t 2 t 3 t 4 T 플립플롭의입출력파형 - 3 -

32 q 에지트리거 T 플립플롭 v 클록형 T 플립플롭의클록펄스입력에펄스전이검출기를추가하여구성 T T (t+) (t) (t) 상승에지트리거 T 플립플롭 T T (t+) (t) 하강에지트리거 T 플립플롭 (t) v 에지트리거 T 플립플롭은 T 입력은논리 상태로고정하고 에클록펄스를트리거입력으로사용하기도한다. 이경우 T-F/F 은펄스가들어올때마다상태가토글된다. ß binary 카운터회로에사용 T T

33 q T 플립플롭회로구성 +V CC D J T T K D 플립플롭이용 J-K 플립플롭이용 예제 8-3 아래그림과같은파형을클록형 T 플립플롭에인가하였을때, 출력 의파형을그려보아라. 단, 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. EN J T T EN K

34 6. 비동기입력 v 대부분의플립플롭은클록펄스에의해서플립플롭의상태를변화시킬수있는동기입력이있고, 클록펄스와관계없이비동기적으로변화시킬수있는비동기입력인 preset( P ) 입력과 clear( CL ) 입력이있다. v 비동기입력들은플립플롭의초기조건결정등에사용 Active low J J K P P CL P CL J K 변화없음 toggle J-K 플립플롭의블록도와진리표 ( 비동기입력을가진에지트리거링 ) K CL

35 예제 8-4 다음그림과같이하강에지 J-K 플립플롭의 J와 K 입력을논리 로하고, P 과 CL 입력에그림의파형을인가하였을때, 출력 의파형을그려보아라. 단, 는 으로초기화되어있으며, 게이트에서의전파지연은없는것으로가정한다. J P K CL

36 7. 플립플롭의동작특성. 전파지연시간 (Propagation Delay Time) v 입력신호가가해진후출력에변화가일어날때까지의시간간격 5% 5% 5% 5% t PLH 클록펄스의전파지연시간 t PHL

37 2. Set up Time v 의상승 ( 하강 ) 에지천이전에입력값은일정시간 (set up) 동안유지해야함. D 5% D 5% 5% 5% 3. Hold Time t s(h) t s(l) v 의에지천이후입력값이유지해야하는일정한시간 5% 5% D 5% D 5% t h(h) t h(l)

38 3. 최대클럭주파수 : fmax v 플립플롭의동작속도를결정 v 플립플롭이안전하게동작할수있는최대주파수 v 항상 fmax 이하에서동작시켜야한다. 4. 플립플롭의특성비교 Parameter TTL CMOS (Times in ns) LS2 74C74 74HC2 t s (set-up) t h (hold) 5 t PHL (from CLK to ) t PLH (from CLK to ) t PHL (from CL to ) t PLH (from P to ) t W (L)(CLK LOW time) t W (H)(CLK HIGH time) t W (L)(at CL or P ) f MAX (in MHz)

39 8. 멀티바이브레이터 v 기본적으로두개의인버터 (inverter) 로구성되어있고각각의출력을궤환 (feedback) 시켜서서로상대인버터를입력으로한다. v 이와같은형태의인버터는한쪽인버터의출력이 이면다른한쪽인버터의출력은반드시 이어서동시에같은상태에있을수는없다. v 멀티바이브레이터 (Multivibrator, MV) 는디지털시스템에서 2 진수를저장하고, 펄스수를세며, 연산을동기화, 클럭생성등의기능수행 v 구성에따른멀티바이브레이터의종류 무안정멀티바이브레이터 (astable MV, 구형파발진기 ) 단안정멀티바이브레이터 (monostable MV, 혹은 one-shot MV) 쌍안정멀티바이브레이터 (bistable MV, 플립플롭과같음 )

40 . 무안정멀티바이브레이터 v 무안정 ( 또는비안정, 불안정 ) MV 는불안정한두가지상태 High 또는 Low 상태를가지며, 한쪽상태에머무르지못하고두상태를교대로변화하는일종의발진기 (oscillator) v 외부입력없이스스로주기적인구형파발생 q NOT 게이트를이용한무안정 MV 회로 회로도 출력파형 발진주파수 : f =.455 C - 4 -

41 q 슈미트트리거를이용한무안정 MV 회로 v 슈미트트리거 (Schmitt trigger) 는단안정 MV로사용가능 v 입출력특성곡선의 Hysteresis에의한발진생성 v 구형파가아닌입력이들어오더라도구형파출력을얻을수있음. V T+ 상승임계전압, UTL V T- 하강임계전압, LTL 핀배치도 V T- V T+ 입출력특성곡선 슈미트트리거의입출력파형 - 4 -

42 q 무안정 MV 로동작하는타이머 555 v 타이머 555 는구형파발생및단안정 MV 로서널리사용 t = 2 =.693( A + B ) C, t. 693 주파수 : f = T t duty cycle = T.43 = ( A + 2B ) C t A + = = t + t A B B B C % 타이머 555 를이용한구형파발생기

43 예제 타이머가 [ 그림 8-68] 과같이무안정 MV 로동작하는경우출력파형의주파수와듀티사이클을구하여라. 단, = 2.2kW, = kw, C =.mf 라고가정한다. A B 풀이 f = ( A B ) C = ( ). -6 = 7.7kHz Duty Cycle = A A B % = B % = 5.5% B >> A 이므로듀티사이클이약 5% 임을알수있다

44 2. 단안정멀티바이브레이터 v 단안정 (one-shot) MV 는입력에트리거신호 ( 짧은펄스 ) 가가해질때마다일정한폭을갖는하나의구형펄스를발생시키는회로 v 트리거신호에의하여일단준안정상태 (quasi-stable) 를유지하다가곧안정된상태로복귀 v 단안정 MV 의종류 w retriggerable 단안정회로 (7422, 7423) w non-retriggerable 단안정회로 (742, 7422) 단안정멀티바이브레이터동작개념도

45 q IC non-retriggerable 단안정 MV 출력펄스의폭 : t w =. 69C.4kW < < 4kW < C < pf 논리도및핀 Function table 회로 상승에지트리거링 하강에지트리거링

46 예제 을사용하여약 89 ms의펄스폭을가진상승에지트리거링원샷 MV 를설계하여라. 임의로 = 39kW 를선택하고필요한콘덴서의용량을구하면, -3 tw 89 C = = = 3.3mF 이다. 따라서완성된회로와출력파형은다음과같다

47 q IC 7423 한 IC 내에 2 개의 retriggerable 단안정 MV 논리도 (/2) 동작표 출력펄스의폭 : æ. 7 t w =.28Cç + è C >pf ö ø 상승에지트리거링 하강에지트리거링

48 q 단안정 MV 로동작하는타이머 555 v 타이머 555 는 non-retriggerable 단안정 MV 사용가능 입력클럭신호의주기 출력펄스의폭 : t A C 예제 8-9 위회로에서 2번핀인트리거단자로 KHz 클럭이입력된다고가정한다. 이경우출력파형을그려라. 여기서 A = 4.7kW, C =.2mF이다. 입력클럭주기 : T CLK = = ms f 3 = 출력펄스폭 : t A C = =.34ms 출력파형 : 트리거입력의 하강에지에서동작

9장 순차논리 회로

9장 순차논리 회로 9 장순차논리회로 순차논리회로개요 현재의입력과이전의출력상태에의해현재출력이결정되는회로 현재상태가다음상태의출력에영향을미치는논리회로 순차논리회로의구성도 X (t) Combination Logic Y (t) Y (t-1) Memory element Clock Timing delay device 2 9.1 동기식순차논리회로와비동기식순차회로 동기식순차회로 모든논리회로의동작이일정한신호에의해동작하는회로

More information

논리회로설계 6 장 성공회대학교 IT 융합학부 1

논리회로설계 6 장 성공회대학교 IT 융합학부 1 논리회로설계 6 장 성공회대학교 IT 융합학부 제 6 장플립플롭 조합회로 현재의입력상태에의해출력이결정 과거의상태에의해영향받지않음 순차회로 현재의입력 기억소자에기억된과거의입력의조합에의해출력이결정됨 조합회로를위한논리게이트 + 기억소자 순차회로의기억소자 플립플롭 (Flip Flop, F/F) 플립플롭 래치 (latch) 비트의정보를저장 플립플롭중가장간단한형태동기형플립플롭

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> I COOKBOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of Chapter ) . JK 플립플롭을사용한비동기식 6진상향카운터설계 6진카운터를구성하기위해출력이목표로하는최고카운트에 을더한 6에도달한순간을포착하여모든플립플롭의출력을 Clear 한다. 6진카운터는비동기입력 (Clear, Preset) 이있는

More information

Microsoft PowerPoint - ch11_reg.pptx

Microsoft PowerPoint - ch11_reg.pptx 11 장레지스터 레지스터 (egister) 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 생체계측 디지털논리회로 Prof. Jae Young Choi ( 최재영교수 ) 생체계측 (2014 Fall) Prof. Jae Young Choi Section 01 논리게이트 디지털컴퓨터에서모든정보는 0 또는 1 을사용하여표현 게이트 (gate) 0, 1 의이진정보를처리하는논리회로여러종류가존재동작은부울대수를이용하여표현입력과출력의관계는진리표로표시 2 ND 게이트

More information

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로

동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로 9 장동기순차회로 동기순차회로 p 조합논리회로 combinational logic circuit) v 출력이현재의입력에의해서만결정되는논리회로 p 순차논리회로 sequential logic circuit) v 현재의입력과이전의출력상태에의해서출력이결정 v 동기순차논리회로와비동기순차논리회로로분류. v v v 동기순차회로 : 클록펄스에의해서동작하는회로 비동기순차회로

More information

4장 논리 게이트

4장 논리 게이트 4 장논리게이트 게이트 : 논리연산수행 4.1 기본게이트 AND, OR, NOT, NOR, NAND, XOR, XNOR 버퍼게이트 버퍼 : 연결할회로사이에전류, 전압등의구동이나레벨을맞추기위한완충을목적으로사용 진리표와기호 진리표게이트기호 IEEE 표준기호 NC NC 16 15 14 13 12 11 10 9 MC14050B 버퍼게이트 1 2 3 4 5 6 7 Vcc

More information

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로

개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로 11 장레지스터 개요 데이터를저장할수있는기억소자 여러개의플립플롭으로구성. 메모리는단순데이터를저장하는소자이지만, 레지스터는저장뿐아니라저장된데이터를처리할수있는기능도있다. 카운터도클록펄스가입력되면미리정해진순서에따라상태가변하는레지스터이다. 카운터와레지스터의차이점 데이터를저장또는이동하는목적으로만사용 상태의순차적인특성을갖지않는다. 한국기술교육대학교전기전자통신공학부 2

More information

Microsoft PowerPoint - 제10장.ppt [호환 모드]

Microsoft PowerPoint - 제10장.ppt [호환 모드] 10장카운터 비동기 ( 리플 ) 카운터 리플카운터의회로연결및동작 : 모든 JK(T) 입력은 1로연결하여토글모드로동작 클럭펄스는 LSB F/F 에만인가 -클럭펄스의 NGT/PGT 에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수

마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수 마이컴응용 NE555-1 저자 : 박권서 [3] NE555 (Precision Timer) 수 ms에서수시간까지타이밍조정 비단정 (Astable) 또는단안정 (Monostable) 동작 듀티사이클 (Duty Cycle) 조정 200mA까지흡수 (Sink) 하거나공급할수있는 TTL-호환출력 < 핀구성 > 시그네틱스 (Signetics) 사의 NE555, SA555,

More information

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다

1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다 디지털논리회로이론, 실습, 시뮬레이션 : 카운터 기출문제풀이 ehanbitet - 1 - 1. 일련의순차적인수를세는회로는? < 가 > 가카운터 다디코더 나레지스터 라인코더 2. 입력펄스에따라미리정해진순서대로상태가변화하는레지스터로써발생회수를세거나동작순서를제어하기위한타이밍 (timing) 신호를만드는데가장적합한회로는? < 다 > 가범용레지스터 다카운터

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

10 장카운터

10 장카운터 0 장카운터 u 리플카운터의회로연결및동작 : 비동기 ( 리플 ) 카운터 모든 JK(T) 입력은 로연결하여토글모드로동작 클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화 나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화 모든 clear 입력은동시에연결 각 F/F 사이에는시간지연발생 MOD : N 개의

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1

수없기때문에간단한부분으로나눠서구현하고, 이를다시합침으로써전체를구현하게 된다. 실험에서는이미구현된 4-Bit ALU인 74LS181 Chip을사용한다. 이 Chip은 4-bit의 Data input A, B와 Selection input 4 bit, Carry In 1 Experiment 6. Use of Arithmetic Logic Unit and Flip-Flops Abstract 본실험에서는현대 CPU의가장근간이되는 Unit인산술및논리연산기 (Arithmetic Logic Unit, ALU) 와순차회로 (Sequential Circuit) 을이루는대표적인기억소자인플립플롭 (Flip-flop) 의기능을익히며, 간단한연산회로와순차회로를구현해본다.

More information

5_03.hwp

5_03.hwp ND OR NOT 게이트실험 02 2. ND OR NOT 게이트실험 2.1 실험목적 논리게이트인 ND, OR, NOT 게이트의동작특성을이해한다. ND, OR, NOT 게이트의진리표와논리식을실험을통해확인한다. 2.2 실험이론 2.2.1 디지털논리회로 디지털논리회로 조합논리회로순서논리회로 그림 2-1 디지털논리회로 실험 33 이론과함께하는디지털회로실험 디지털논리회로

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

논리회로설계 3 장 성공회대학교 IT 융합학부 1

논리회로설계 3 장 성공회대학교 IT 융합학부 1 논리회로설계 3 장 성공회대학교 IT 융합학부 1 제 3 장기본논리회로 명제 참인지거짓인지정확하게나타낼수있는상황 ( 뜻이분명한문장 ) 2진논리 참과거짓 두가지논리로표시하는것 0 / 1 로표현가능 논리함수 여러개의 2진명제를복합적으로결합시켜표시하고, 이를수학적으로나타낸것 디지털논리회로 일정한입력에대하여논리적인판단을할수있는전자회로로구성 - 입력된 2진논리신호들에대해적당한

More information

chap7_ohp.hwp

chap7_ohp.hwp 제 7 장카운터와레지스터 (Counters and Registers) 7-1 비동기( 리플) 카운터리플카운터의회로연결및동작 : 모든 JK 입력은 1로연결하여토글모드로동작클럭펄스는 LSB F/F에만인가 - 클럭펄스의 NGT/PGT에서출력변화나머지 F/F의 CLK는그전단의 LSB의출력연결 - 그전단출력의 NGT/PGT에서출력변화모든 clear 입력은동시에연결각

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

AVR ATmega128 소개 마이크로컨트롤러 AVR ATmega128 저자 : 이상설 소속 : 원광대학교전기 정보통신공학부

AVR ATmega128 소개 마이크로컨트롤러 AVR ATmega128 저자 : 이상설 소속 : 원광대학교전기 정보통신공학부 AVR ATmega128 소개 마이크로컨트롤러 AVR ATmega128 저자 : 이상설 (slee@wku.ac.kr) 소속 : 원광대학교전기 정보통신공학부 학습목표 2/39 다양한 AVR 패밀리와소자특징을알아보고, 제어환경에따라 AVR 소자를선택하여개발할수있는융통성을이해할수있다. ATmega128 내부구조에서메모리공간, I/O 공간의프로그램과데이터를처리하기위한특수레지스터,

More information

PowerPoint Presentation

PowerPoint Presentation 1 6 장 MOS 회로의설계 6.1 스위치논리 2 스위치 스위치 0V 5V PMOS PMOS 5V NMOS 0V NMOS (a) ON 상태 (b) OFF 상태 그림 6-1. 그림 6.1 NMOS/PMOS / 패스트랜지스터 0V 5V 5V 5V (a) ON 상태 (b) OFF 상태 (c) 심볼 그림 6-2. MOS 전달게이트 그림 6.2 MOS 전달게이트 0V

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators 발진기 (Oscillator) 발진기 : 전원이인가된상태에서외부의입력신호없이회로자체의동작에의해특정주파수의신호 ( 정현파, 구형파, 삼각파, 톱니파 ) 를생성하는회로 종류 : 귀환 발진기 (Feedback oscillator), 이완 발진기 (elaxation oscillator) 귀환발진기 귀환발진기 : 출력신호의일부분이위상변이없이입력으로인가되어출력을강화

More information

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < >

3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > . 변수의수 ( 數 ) 가 3 이라면카르노맵에서몇개의칸이요구되는가? 2칸 나 4칸 다 6칸 8칸 < > 2. 다음진리표의카르노맵을작성한것중옳은것은? < 나 > 다 나 입력출력 Y - 2 - 3. 다음은카르노맵의표이다. 논리식을간략화한것은? < 나 > 4. 다음카르노맵을간략화시킨결과는? < > 2 2 2 2 2 2 2-3 - 5. 다음진리표를간략히한결과

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

슬라이드 1

슬라이드 1 보안회로설계 순차회로 Dong Kyue Kim Hanyang University dqkim@hanyang.ac.kr 조합과순차 조합회로 (combinational circuit) Memory가없다. 입력한값에따른출력 출력 = f ( 입력 ) 순차회로 (sequential circuit) Memory가있다. Memory에는회로의현상태가저장 출력은입력과현상태에의해결정

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

歯02-BooleanFunction.PDF

歯02-BooleanFunction.PDF 2Boolean Algebra and Logic Gates 2.1 2.2 2.3 2.4 2.5 2.6 2.7 2.8 IC Chapter 2 Boolean Algebra & Logic Gates 1 Boolean Algebra 1854 George Boole Chapter 2 Boolean Algebra & Logic Gates 2 Duality Principle

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

v6.hwp

v6.hwp 93 6 장순차회로모델링 이장에서는앞에서배운여러가지모델링방법에대한지식을바탕으로많이사용되는기본적인순차회로블록들의모델링과순차회로설계방법에대해서배운다. 6. 레지스터 레지스터는 n-bit 데이터를저장하는기억소자이다. 데이터의저장은클럭에동기가되어이루어진다. 그림 6.은전형적인레지스터의블록도와동작표이다. register D D D2 D3 Load Reset Q Q Q2

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

- 2 -

- 2 - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 23 - - 24 - - 25 - - 26 - - 27 - - 29 - - 30 - - 31 - - 32 - - 33

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

<B5F0C1F6C5D020C0FCC0DAC8B8B7CE20BFE4C1A1C1A4B8AE2E687770>

<B5F0C1F6C5D020C0FCC0DAC8B8B7CE20BFE4C1A1C1A4B8AE2E687770> 디지털전자회로요점정리 만든이 : solser68@naver.com 대상 : 95년부터 05년까지 종목 : 무선설비기사 ( 박대길) < 제목차례>. 접근시간(Access Time) 접근시간이빠른순서 2. 멀티바이브레이터(MV) 비안정 MV 멀티바이브레이터의결합회로의구성 멀티바이브레이터구성시필요요소 단안정 MV 3. 변조지수및소요대역폭 주파수변조(FM) ) 변조지수

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 마이크로컨트롤러기초 (#514112 ) #.7 Basic Timer1 기초 핚림대학교젂자공학과이선우 Contents Digital Counter Basics MSP430x4xx Timers Overview Basic Timer 1 Example program Digital Counter & Timer Counter Basics Digital counter (

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

¾Ë·¹¸£±âÁöħ¼�1-ÃÖÁ¾

¾Ë·¹¸£±âÁöħ¼�1-ÃÖÁ¾ Chapter 1 Chapter 1 Chapter 1 Chapter 2 Chapter 2 Chapter 2 Chapter 2 Chapter 2 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 3 Chapter 4 Chapter 4

More information

01....b74........62

01....b74........62 4 5 CHAPTER 1 CHAPTER 2 CHAPTER 3 6 CHAPTER 4 CHAPTER 5 CHAPTER 6 7 1 CHAPTER 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50

More information

(291)본문7

(291)본문7 2 Chapter 46 47 Chapter 2. 48 49 Chapter 2. 50 51 Chapter 2. 52 53 54 55 Chapter 2. 56 57 Chapter 2. 58 59 Chapter 2. 60 61 62 63 Chapter 2. 64 65 Chapter 2. 66 67 Chapter 2. 68 69 Chapter 2. 70 71 Chapter

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 1, Oct 서론,.,., IC. IC, IC. EM Immunity, Electromagneti

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 1, Oct 서론,.,., IC. IC, IC. EM Immunity, Electromagneti THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 216 Oct.; 27(1), 917 925. http://dx.doi.org/1.5515/kjkiees.216.27.1.917 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) CMOS DTMOS

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

ATmega128

ATmega128 ATmega128 외부인터럽트실습 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi 외부인터럽트실험 외부인터럽트를사용하기위해관렦레지스터를설정 일반적으로 I/O 포트에대한설정이끝난후에외부인터럽트나타이머 / 카운터설정 PE4~7 번까지 4 개의외부인터럽트 INT4~INT7 까지사용 외부인터럽트사용법요약

More information

제 호 년 제67차 정기이사회, 고문 자문위원 추대 총동창회 집행부 임원 이사에게 임명장 수여 월 일(일) 년 월 일(일) 제 역대 최고액 모교 위해 더 확충해야 강조 고 문:고달익( 1) 김병찬( 1) 김지훈( 1) 강보성( 2) 홍경식( 2) 현임종( 3) 김한주( 4) 부삼환( 5) 양후림( 5) 문종채( 6) 김봉오( 7) 신상순( 8) 강근수(10)

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

중간고사

중간고사 중간고사 예제 1 사용자로부터받은두개의숫자 x, y 중에서큰수를찾는알고리즘을의사코드로작성하시오. Step 1: Input x, y Step 2: if (x > y) then MAX

More information

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드]

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드] 의료기기제작실습 II 이름 : 이기영 (Lee, Ki Young) 전공 : 의공학 (Medical Engineering) 연구실 : 강릉캠퍼스 50주년기념관 514호이메일 : kylee@kd.ac.kr 학과홈 : http://cms.kd.ac.kr/user/bme/index.html 1 수업계획서 1주 필터회로의분석 2주 필터회로의구현 3주 반전 / 비반전증폭기

More information

(19) 대한민국특허청 (KR) (12) 등록특허공보 (B1) (45) 공고일자 2014년07월10일 (11) 등록번호 10-1418046 (24) 등록일자 2014년07월03일 (51) 국제특허분류 (Int. Cl.) H03K 5/156 (2006.01) H03K 7/08 (2006.01) (21) 출원번호 10-2012-0148658 (22) 출원일자 2012

More information

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 -

반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Chapter 2 메모리와프로그램논리장치 반도체메모리 메모리 (memory) 분류 순차액세스메모리 랜덤액세스메모리 RAM ROM DRAM SRAM Mask ROM Field PROM 반도체메모리의분류 Fuse-link PROM EPROM EEPROM - 2 - Ø 접근방법에의한분류 v RAM(Random Access Memory) : 접근시간이어느위치나동일하게걸리는메모리형태

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information