adStar_STK_bm_v3.0_130410k

Size: px
Start display at page:

Download "adStar_STK_bm_v3.0_130410k"

Transcription

1 User s Manual ( adstar Starter Kit ) Ver 3.0 April. 10,, 2013 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced Digital Chips Inc. reserves the right to change in its products or product specification to improve function or design at any time, without notice. Copyrights 2012 Advanced Digital Chips Inc, All rights reserved.

2 Revision History 날짜 내 용 Ver 1.0 초판 완성 Ver 1.1 내용 수정 Ver 1.2 오타 수정 Ver 1.3 JP1관련 내용 수정 Ver 1.3 회로도 변경 Ver 1.4 회로도 변경 ( 내부 LDO 및 외부 LDO ) Ver 1.5 회로도 및 매뉴얼 변경 ( USB HOST BLOCK -> To be determined ) Ver 2.0 회로도 및 매뉴얼 변경 ( MODE SWITCH : Pin 30, 31 -> Pin 28, 29 ) ( SPWM Output : Pin 28, 29 -> Pin 30, 31 ) Ver 3.0 회로도 및 매뉴얼 변경 ( U10 : BD6066EKN -> R1204N313A로 교체 ) ( Connector 추가 : J2, J6 ) 2

3 목 차 01. 소개 구성 HARDWARE Component Circuit 별첨 adc-171 Manual

4 시작하기 전에 이 레퍼런스 매뉴얼은 에이디칩스의 32bits Microcontroller인 adstar(ae32000c- Lucida)칩을 적용한 개발 키트를 사용하는 방법에 대한 설명서이다. (Starter Kit)는 현재 산업 및 실생활에서 일반화 되어있는 LCD Display 개발 키트 이다. 매뉴얼에는 에 대한 각 블록별 하드웨어 설명을 통하여 사용자가 하드웨어를 개발하고 테스트 할 수 있도록 다양한 어플리케이션을 제공하고 있다. adstar와 같은 system on chip을 처음 사용하는 개발자는 물론 이와 유사한 칩을 이용하여 application system을 다뤄 본 사용자들에게도 본 매뉴얼의 내용에 대해 사전 숙지하기를 권고한다. 4

5 0 1 BOARD 1-1. 소개 는 에이디칩스의 32bits Microcontroller인 adstar를 적용하여 LCD를 사용하 는 임베디드 시스템을 위한 그래픽 사용자 인터페이스 개발용 키트 이다. 는 그래픽 사용자 인터페이스 개발에 필요한 다양한 어플리케이션 블록을 제공 함으로써 개발자가 별도의 하드웨어 구성의 필요성을 최소화하고 있다. 또한 에는 별도의 만능기판 없이 개발자의 하드웨어를 보드에서 직접 테스트 할 수 있도록 2.54mm Pitch의 기판 홀을 탑재하여 하드웨어의 개발 및 테스트의 편의성을 제공한다 보드 사양 JTAG Debugger Debugging UART CH0 User UART CH3 ( RS-232 or TTL Level 선택 ) Digital Audio PWM 1Ch PWM BUZZER NAND FLASH MEMORY ( 1Gbit ) SDCARD Socket TWI Serial Flash Memory ( 32Kbit ) Real-Time IC & Back-up Battery ADC Input Channel 2ea ( Microphone & Thermistor ) Extension ADC Input 7 TFT-LCD ( 800 X 480 ) with Touch Panel Extention TFT-LCD Connector USB Host ( *TBD ) USB Device Wi-Fi Module ( adc-171 ) PCB through hole for component test ( 13X16, 7X3, 2.54mm ) Notice. TBD means To be determined 5

6 1-3. 보드 사진 board TOP VIEW board FRONT SIDE VIEW 6

7 with LCD 7

8 구성품 < E-CON Option> < OTP Writer > < MAIN + 7 TFT LCD > < Adapter 5V/2A > < adc-171 > < USB Cable A-B > Technical support : Schematic, Manual, SDK 8

9 0 2 구성 2-1. 구성 * 5V POWER INPUT * ADC BLOCK * TFT-LCD BLOCK * RTC * TWI MEMORY ( Thermistor Microphone ) * TOUCH IC * Configuration Component ( R19, R20, R21, R22, R23, R24 ) * Back-up battery * BACK -LIGHT * USB HOST(*TBD) * EXT TEST PCB & * DEVICE * AUDIO PWM * UART * SD CARD * Wi-Fi Module Connector * BUZZER CONNECTOR Notice. TBD means To be determined 9

10 0 3 Block Feature 3-1. adstar 에이디칩스의 32bits Microcontroller인 adstar는 Instruction을 위한 2KB SRAM과 Data 를 위한 30KB SRAM이 내장 되어있다. 또한 LCD Controller의 탑재는 그래픽 사용자 인터 페이스 개발에 적합한 General MCU이다. adstar는 내부 SDRAM(8/16M), Flash(512K)의 option에 따른 4가지 Version으로 공급되 어 application에 따른 선택이 가능하다. 현재 에는 adstar-d16mf512(16mb SDRAM, 512KB Flash)가 탑재되어 있다. adstar에 대한 자세한 내용은 Data Book을 참고하기 바란다 RESET BLOCK 의 시스템 리셋은 아래와 같이 2가지의 방법으로 리셋 신호를 인가할 수 있게 설계가 되어 있다. 하나는 스위치(SW7)에 의한 리셋 인가와 또 다른 하나는 E-CON을 통 한 리셋 신호를 인가하는 방법이다. nreset 신호는 MCU인 adstar에 인가되어지고, HIGH로 인가되어지는 RESET 신호는 U7, U8(MULTIPLEXR/DEMULTIPLXER)의 noe 컨트롤 신호로 사용되어 진다. 10

11 3-3. Boot Mode BLOCK adstar는 디버깅을 위한 Debugger Boot Mode와 3종류의 메모리에 의한 Normal Boot Mode가 있다. 현재 는 내부 SPI Flash Boot Mode로 구현이 되어있다. SW3에 의해서 Debugger Boot Mode 또는 Normal Boot Mode(SPI Flash Boot Mode, NOR Flash Boot Mode, NAND Flash Auto Boot Mode)를 선택할 수 있다 JTAG Boot BLOCK adstar의 JTAG 모드 진입은 SW3에 의해서 이루어 진다. 또한 JP1은 JTAG Download 장비인 E-CON 과의 연결 컨넥터이다. 기존 칩과는 달리 adstar는 OTP 롬이 내장되어 있어서 이를 writing하기 위한 전원이 JP1에 추가되어 있다. < SW3 UP > Normal Boot BLOCK Normal Boot Mode(SPI Flash Boot Mode, NOR Flash Boot Mode, NAND Flash Auto Boot Mode) 를 선택할 수 있다. 는 내부 SPI Flash Boot Mode로 구현 되어있으며 저항의 위 치 변경을 통해서 NAND Flash Auto Boot Mode로도 구현이 가능하다. < SW3 DOWN > < Boot Mode 설정 방법 > Boot Mode CFG0 CFG1 CFG2 CFG3 Configuration SW3 R19,R22 R20,R23 R21,R24 Component LOW X X X Jtag Boot Mode HIGH R19 R23 R24 Internal SPI Flash Boot Mode HIGH R22 R20 R21 Large type Address 4 Cycles 11

12 3-4. USB BLOCK 에서는 USB HOST 및 DEVICE를 위한 컨넥터가 실장되어 있다. HOST 또는 DEVICE는 SW1의 설정에 따라 선택되어진다. 또한 HOST 모드일때는 adstar의 USB_OVC 핀이 Pull-down 또는 Ground 레벨로 있어야 한다. Notice. USB HOST : To be determined 3-5. UART BLOCK 보드에는 디버깅을 위한 RS-232 레벨의 UART CH0와 사용자의 어플리케이 션을 위한 UART CH3이 있다. UART CH3은 라인트랜시버를 통한 RS-232 레벨의 인터페이스를 위한 CON5-1과 adstar 에서 직접 인터페이스 되어지는 TTL 레벨의 CON5-2가 있다. 사용자는 인터페이스 사양에 맞게 둘중에 한 개의 컨넥터를 선택하여 사용하면 된다. 12

13 3-6. MEMORY BLOCK 에는 1Gbit의 NAND FLASH 메모리와 SD CARD 인터페이스를 위한 CARD 컨 넥터가 있으며 일부 핀들은 adstar의 핀을 공용으로 사용하고 있다. 13

14 3-7. TWI BLOCK 에는 시리얼 플래쉬 메모리, RTC, TOUCH 컨트롤러의 3종류의 TWI 인터페이 스 어플리케이션을 제공한다 PWM BLOCK PWM(Pulse Width Modulation), 즉 펄스 폭 변조를 이용한 buzzer 구동회로이다. 14

15 3-9. AUDIO PWM BLOCK 보드에는 Digital PWM 신호를 간단한 L,C low-pass filter로 구현한 오디오 출력이 있다. 이는 고음질의 성능을 요구하지 않는 시스템에서 저가의 하드웨어로 구현이 가능하다 ADC BLOCK 에이디칩스의 32bits Microcontroller인 adstar에는 4채널의 10-bit ADC가 내장되어 있 다. 는 ADC ch2와 ch3을 테스트 할 수 있도록 thermistor와 microphone을 실 장하였다. 또한 ch0와 ch1은 위 그림과 같이 별도의 2.54mm pitch의 PCB 홀로 확장하여 사용할 수 있도록 하였다. 15

16 3-11. TFT-LCD EXTENSION PCB HOLL 에는 부품이 실장되지 않은 형태의 PCB 홀로 JP2와 JP3이 있다. 이는 현재 보드에 탑재되어있는 7인치 LCD인 LTP700WV-F01외의 다른 LCD 사용 목 적을 위한 확장 기판용 홀이다. 이 홀은 일반적인 2.54mm pitch의 핀 헤더와 호환되게 설계되어 있으므로 사용목적에 맞게 별도의 LCD 보드를 제작하여 테스트를 할 수 있다. JP2는 LCD 관련 신호선이 확장되어 있으며, JP3은 TWI 신호선이 확장되어 있어 다른 TWI 형태의 Touch Device를 지원한다 Wi-Fi module Connector( J4 & J5 ) 에이디칩스의 Wi-Fi module인 adc-171을 사용하기 위한 확장 컨넥터이다. adc-171은 adstar의 uart ch1과 TTL Level의 인터페이스로 구성이 되어있다. adc-171 module의 내용은 별첨을 참조하기 바랍니다. 16

17 0 4 Component Summary 4-1. USB CONNECTOR < CON1 > < CON2 > CON1 CON2 USB TYPE A ( HOST ) USB TYPE B ( DEVICE ) 1 VBUS(power) 1 NC 2 DM 2 DM 3 DP 3 DP 4 GND 4 GND 4-2. USB MODE SELECT SW1 USB MODE SELECT MODE HOST (TBD) < SW1 > DEVICE Notice. TBD means To be determined 17

18 4-3. JTAG CONNECTOR < JP1 > JTAG CONNECTOR ( JP1 ) 1 NC 2 OTP_V6P3D 3 P6.2 / TDI 4 V3P3D 5 P7.0 / TMS 6 GND 7 P6.0 / ntrst 8 P7.1 / TDO 9 P6.1 / TCK 10 GND 11 GND 12 GND 13 NC 14 NC 4-4. JTAG 모드 선택 스위치 SW3 JTAG MODE SELECT MODE NORMAL MODE < SW3 > JTAG MODE 18

19 4-5. EXECUTE MODE SWITCH SW4 SW5 EXECUTE MODE USB COMMUNICATION MODE MASS STORAGE MODE < SW4, SW5 > USER DEFINE 4-6. SDCARD CONNECTOR < CON3 > SDCARD CONNECTOR ( CON3 ) 1 DATA3 P2.7 / SDHC_D3 2 CMD P1.3 / SDHC_CMD 3 GND POWER ( GND ) 4 VDD POWER ( 3.3V ) 5 CLK P1.4 / SDHC_CLK 6 GND POWER ( GND ) 7 DATA0 P2.4 / SDHC_D0 8 DATA1 P2.5 / SDHC_D1 9 DATA2 P2.6 / SDHC_D2 10 CARD DETECT P3.7 / GPIO 11 SW CON GND 12 WRITE PROTECT PULL-UP 13 NC NC 14 GND POWER ( GND ) 15 GND GND 19

20 4-7. adc-171 MODULE INTERFACE CONNECTOR ( J4, J5 ) J4 J5 1 VBATT 3.3V 1 RN-171 GPIO10 P4.3 / RX1 2 REG_CTRL NC 2 RN-171 GPIO11 P4.2 / TX1 3 NC NC 3 RN-171 GPIO12 R59 4 NC NC 4 NC NC 5 RN-171 GPIO9 R56 5 NC NC 6 NC NC 6 FORCE AWAKE 3.3V 7 RN-171 GPIO7 LED1 7 nreset P0.7 8 RN-171 GPIO6 LED2 8 NC NC 9 RN-171 GPIO5 LED3 9 NC NC 10 RN-171 GPIO4 LED4 10 SENSOR5 R58, R64 11 NC NC 11 NC NC 12 NC NC 12 SENSOR POWER R58 13 NC NC 13 NC NC 14 VCC POWER ( 3.3V ) 14 SENSOR2 R63 15 VCC POWER ( 3.3V ) 15 SENSOR1 R59 16 GND POWER ( GND ) 16 SENSOR0 R57 17 GND POWER ( GND ) 17 GND POWER ( GND ) 4-8. DEBUGGER UART CH0 ( CON4 ) DSUB-9 ( RS-232 LEVEL ) 1 NC NC 2 UART RX0 U5 ( 14 ) 3 RS232 TX0 U5 ( 13 ) 4 NC NC 5 GND POWER ( GND ) 6 NC NC 7 NC NC 8 NC NC 9 NC NC 20

21 4-9. USER UART CH3 ( CON5-1, CON5-2 ) CON5-1 1 ( RS-232 LEVEL ) CON5-2 2 ( TTL LEVEL ) 1 RS232 RX3 U5 ( 7 ) 1 UART RX3 P3.3 / RX3 2 RS232 TX3 U5 ( 8 ) 2 UART TX3 P3.2 / TX3 3 GND POWER ( GND ) 3 GND POWER ( GND ) AUDIO JACK ( J10 ) AUDIO JACK ( J10 ) 1 ADUIO GND 2 SPWM2L_P OUT 3 SPWM2L_N OUT 4 NC 5 NC BACK-LIGHT CONNECTOR ( J7 ) FH12-6S 6S-0.5SH ( J7 ) 1 ANODE 1 D5, C64 2 CATHODE 1 U11 ( 12 ) 3 ANODE 2 D5, C64 4 CATHODE 2 U11 ( 10 ) 5 ANODE 3 D5, C64 6 CATHODE 3 U11 ( 9 ) 21

22 4-12. LTP700WV-F01 TFT-LCD CONNECTOR ( J1 ) FH28-40S 40S-0.5SH 0.5SH ( J1 ) 1 GND1 POWER ( GND ) 2 GND2 POWER ( GND ) 3 VCC1 POWER ( 3.3V ) 4 VCC2 POWER ( 3.3V ) 5 R0 P6.0 / R0 6 R1 P6.1 / R1 7 R2 P6.2 / R2 8 R3 P6.3 / R3 9 R4 P6.4 / R4 10 R5 P6.5 / R5 11 R6 P6.6 / R6 12 R7 P6.7 / R7 13 G0 P7.0 / G0 14 G1 P7.1 / G1 15 G2 P7.2 / G2 16 G3 P7.3 / G3 17 G4 P7.4 / G4 18 G5 P7.5 / G5 19 G6 P7.6 / G6 20 G7 P7.7 / G7 21 B0 P8.0 / B0 22 B1 P8.1 / B1 23 B2 P8.2 / B2 24 B3 P8.3 / B3 25 B4 P8.4 / B4 26 B5 P8.5 / B5 27 B6 P8.6 / B6 28 B7 P8.7 / B7 29 GND3 POWER ( GND ) 30 DOTCLK P5.7 / CRT CLK OUT 31 PCI P HSYNC P5.5 / HSYNC 33 VSYNC P5.4 / VSYNC 34 DE P5.6 / DISPLAY_EN 35 NC1 NC 36 NC2 NC 37 GND4 POWER ( GND ) 38 GND4 POWER ( GND ) 39 NC3 NC 40 NC4 NC 22

23 4-13. EXTENSION TFT-LCD CONNECTOR ( JP2 ) HEADER( PCB HOLL) ( JP2 ) 1 VCC POWER ( 3.3V ) 2 VCC POWER ( 3.3V ) 3 VCC POWER ( 3.3V ) 4 VCC POWER ( 3.3V ) 5 R0 P6.0 / R0 6 R1 P6.1 / R1 7 R2 P6.2 / R2 8 R3 P6.3 / R3 9 R4 P6.4 / R4 10 R5 P6.5 / R5 11 R6 P6.6 / R6 12 R7 P6.7 / R7 13 G0 P7.0 / G0 14 G1 P7.1 / G1 15 G2 P7.2 / G2 16 G3 P7.3 / G3 17 G4 P7.4 / G4 18 G5 P7.5 / G5 19 G6 P7.6 / G6 20 G7 P7.7 / G7 21 B0 P8.0 / B0 22 B1 P8.1 / B1 23 B2 P8.2 / B2 24 B3 P8.3 / B3 25 B4 P8.4 / B4 26 B5 P8.5 / B5 27 B6 P8.6 / B6 28 B7 P8.7 / B7 29 NC NC 30 DE P5.6 / DISPLAY_EN 31 DOTCLK P5.7 / CRT CLK OUT 32 LCD_PWREN P HSYNC P5.5 / HSYNC 34 LCD_PWM P3.5 / PWM2_L 35 VSYNC P5.4 / VSYNC 36 LCD_RESET P GND POWER ( GND ) 38 GND POWER ( GND ) 39 GND POWER ( GND ) 40 GND POWER ( GND ) 23

24 4-14. IIC FLASH MEMROY ( U2 ) AT24C32 ( U2 ) adstar ar STK 1 A0 GND 2 A1 GND 3 A2 GND 4 GND POWER ( GND ) < AT24C32 > 5 Serial Data P4.5 / TWI SDA 6 Serial Clock Input P4.4 / TWI SCL 7 Write Protect GND 8 VCC POWER ( 3.3V ) NAND FLASH MEMORY ( U3 ) < S34ML01G100T > S34ML01G100T ( U3 ) 7 R/B P1.7 / NF_nBUSY 8 nre P1.6 / NF_nRE 9 nce P1.2 / NF_nCS 12 VCC POWER ( 3.3V ) 13 VSS POWER ( GND ) 16 CLE P1.4 / NF_CLE 17 ALE P1.3 / NF_ALE 18 nwe P1.5 / NF_nWE 19 nwp PULL-UP 29 I/O0 P2.0 / NF_D0 30 I/O1 P2.1 / NF_D1 31 I/O2 P2.2 / NF_D2 32 I/O3 P2.3 / NF_D3 36 VSS POWER ( GND ) 37 VCC POWER ( 3.3V ) 41 I/O4 P2.4 / NF_D4 42 I/O5 P2.5 / NF_D5 43 I/O6 P2.6 / NF_D6 44 I/O7 P2.7 / NF_D7 etc NC NC 24

25 4-16. RTC ( U4 ) DS1307 ( U4 ) 1 X Khz Crystal 2 X Khz Crystal 3 VBAT CR2032 BATTERY 4 GND POWER ( GND ) 5 Serial Data P4.5 / TWI SDA < DS1307 > 6 Serial Clock Input P4.4 / TWI SCL 7 SQW NC 8 VCC POWER ( 5V ) RS-232 Transceivers ( U5 ) < SP3232 > SP3232 ( U5 ) 1 C1+ C35 2 V+ C34 3 C1- C35 4 C2+ C37 5 C2- C37 6 V- C36 7 T2OUT CON5-1 ( 1 ) 8 R2IN CON5-1 ( 2 ) 9 R2OUT P3.3 / RX3 10 T2IN P3.2 / TX3 11 T1IN P1.0 / TX0 12 R1OUT P1.1 / RX0 13 R1IN CON4 ( 3 ) 14 T1OUT CON4 ( 2 ) 15 GND POWER ( GND ) 16 VCC POWER ( 3.3V ) 25

26 4-18. CMOS Op Amp ( U6 ) AK4183 ( U9 ) 1 NC NC 2 VIN- 3 VIN+ 4 VSS POWER ( GND ) 5 NC NC 6 VOUT ADC VIN3 7 VDD POWER ( 5V ) 8 NC NC MULTIPLEXER/DEMULTIPLEXER ( U7 ) < SN74CBTLV3257 > SN74CBTLV3257 ( U7 ) 1 S SW3 ( 5 ) 2 1B1 P8.5 / B5 3 1B2 P8.0 / B0 4 1A J1 ( 21 ) 5 2B1 P8.6 / B6 6 2B2 P8.1 / B1 7 2A J1 ( 22 ) 8 GND POWER ( GND ) 9 3A J1 ( 23 ) 10 3B2 P8.2 / B2 11 3B1 P8.7 / B7 12 4A J1 ( 13 ) 13 4B2 P7.0 / G0 14 4B1 P7.6 / G6 15 noe U15 ( 2 ) 16 VCC POWER ( 3.3V ) 26

27 4-20. MULTIPLEXER/DEMULTIPLEXER ( U8 ) < SN74CBTLV3257 > SN74CBTLV3257 ( U8 ) 1 S SW3 ( 5 ) 2 1B1 P7.7 / G7 3 1B2 P7.1 / G1 4 1A J1 ( 14 ) 5 2B1 P6.5 / R5 6 2B2 P6.0 / R0 7 2A J1 ( 5 ) 8 GND POWER ( GND ) 9 3A J1 ( 6 ) 10 3B2 P6.1 / R1 11 3B1 P6.6 / R6 12 4A J1 ( 7 ) 13 4B2 P6.2 / R2 14 4B1 P6.7 / R7 15 noe U15 ( 2 ) 16 VCC POWER ( 3.3V ) TOUCH SCREEN CONTROLLER ( U9 ) AK4183 ( U9 ) 1 VCC POWER ( 3.3V ) 2 XP CON7 ( 3 ) 3 YP CON7 ( 4 ) 4 XN CON7 ( 1 ) 5 YN CON7 ( 2 ) < AK4183 > 6 GND POWER ( GND ) 7 PENIRQN P4.0 / EIRQ0 8 CAD0 PULL-UP 9 SDA P4.5 / TWI SDA 10 SCL P4.4 / TWI SCL 27

28 4-22. WHITE LED DRIVER ( U11 ) R1204N3113A-FE ( U11 ) 1 LX L17, D4 2 GND GND 3 VFB J7 ( 2, 4, 6 ) 4 CE R103 5 VOUT J7 ( 1, 3, 5 ) 6 VIN L19, L17 28

29 0 5 Circuit 29

30 30

31 31

32 32

33 33

34 34

35 35

36 36

37 37

38 38

39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

This Document can not be used ithout Samsung's authorization 5. 기구전개도 ASSY-LCD 5-2

This Document can not be used ithout Samsung's authorization 5. 기구전개도 ASSY-LCD 5-2 This Document can not be used ithout Samsung's authorization 5. 기구 전개도 SYSTEM de I0006 W3007 M3004 M3005 T0103 T3003 W3020 I0001 ec t I0002 ro ni c T0001 G0011 M4000 K- El T0010 I0009 M0001 W3101 B0001

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

0922 Monitor22...._kor_1

0922 Monitor22...._kor_1 본 사용설명서는 사용자가 언제라도 볼 수 있는 장소에 보관하십시오. TV튜너의 내장으로, 모니터 기능외에 TV로도 사용할 수 있는 모니터입니다. 좁은 공간도 효율적으로 이용할 수 있는 Slim하고 Simple한 디자인. 인체공학적인 디자인으로 사용 편리성 제고. 와이드형 TFT LCD 패널의 채용으로 넓은 화면의 구현. 최대 해상도 680 x 050(WSXGA+)지원.

More information

Microsoft Word - jEMB11_kor.docx

Microsoft Word - jEMB11_kor.docx TO : Jinyoung Contech Co., Ltd. #501, 222-12 MARIOTOWER, GURO3-DONG, GURO-KU, SEOUL, KOREA TEL : 82-2-890-6400 FAX : 82-2-890-6406 HOME PAGE : http://www.jyct.com/ Issued Date : 2011-08-23 Page : 17 Pages

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

0806 블랙박스 메뉴얼 L5 원고작업_수정

0806 블랙박스 메뉴얼 L5 원고작업_수정 CLON L5 USER'S MANUAL Full HD Driving Image Recorder EFL3.0mm F2.0 DRIVING IMAGE RECORDER Digital L5 Recorder 본 제품을 사용하기 전에... www.eyeclon.com 제품을 구입해 주셔서 감사합니다. (아이클론)은 엠씨넥스의 상표입니다. 엠씨넥스 설명서의 모든 내용은 저작권법에

More information

Microsoft PowerPoint - User Manual-100 - 20150521.pptx

Microsoft PowerPoint - User Manual-100 - 20150521.pptx CIC-100 사용 설명서 (User Manual) 나의 커뮤니티, 보는 이야기 TocView [모델명 : CIC-100] 주의사항 매뉴얼의 내용은 서비스 향상을 위하여 개별 사용자의 사전 동의 또는 별도의 공지 없이 변경될 수 있습니다. 사용자의 인터넷 환경에 따라 제품 성능 및 기능의 제작 또는 사용이 불가능할 수 있습니다. 본 제품의 이용 중 장애에 의하여

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

Microsoft Word - AMAZON-II_board manual_ doc

Microsoft Word - AMAZON-II_board manual_ doc User s Manual AMAZON-II STK Ver 1.0 JUL. 09, 2013 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced Digital

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 www MK-Electronic de B0701 C0013 B 5-1

M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 www MK-Electronic de B0701 C0013 B 5-1 M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 B0701 C0013 B 5-1 ASS'Y - LCD K3001 K4001 I0003 K0001 K1001 K2001 K2002 K4002 K3002 I0013 T4000 K0002 K0100 5-2 Unit-Top T0302

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

<4D6963726F736F667420576F7264202D2045564552554E20B4DCB8BB20C1A1B0CB20B9D720C1B6C4A120B8C5B4BABEF35F76312E335F2E646F63>

<4D6963726F736F667420576F7264202D2045564552554E20B4DCB8BB20C1A1B0CB20B9D720C1B6C4A120B8C5B4BABEF35F76312E335F2E646F63> EVERUN 단말 점검 및 조치 매뉴얼(v1.3) 2008-09-04 1. 기본 점검사항 1.1 KT WIBRO CM 프로그램 정보 1.2 장치관리자 진입경로 1.2.1 시작/제어판에서 실행 1.2.2 바탕화면에서 실행 1.3 장치 관리자에서 드라이버 확인 1.3.1 WIBRO 드라이버 확인 1.3.2 Protocol 드라이버 확인 1.4 Windows 스마트

More information

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

.  ,.... :...,,....,.. :..,,,..,,...,.... 2 RD-5405 /.. . "" "",.... :...,,....,.. :..,,,..,,...,.... 2 ..,,..,.. (,,,, )......,...,., ( ),,,,.,. (, )..,...... BD/DVD CD TV, VCR,........ (+, -).,,..... 3 ... 2... 3....3... 5... 9... 10...11...11...

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63>

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63> 2013. 09. 09 [유진 더리치 스몰캡] 이슈 분석 갤럭시노트3, 갤럭시기어 출시 수혜주 스몰캡 팀장 박종선 Tel. 368-6076 jongsun.park@eugenefn.com 스몰캡 담당 윤혁진 Tel. 368-6499 hjyoon@eugenefn.com Summary < Samsung Unpacked 2013 Episode 2> 행사 개최 지난

More information

- 이 문서는 삼성전자의 기술 자산으로 승인자만이 사용할 수 있습니다 Part Picture Description 5. R emove the memory by pushing the fixed-tap out and Remove the WLAN Antenna. 6. INS

- 이 문서는 삼성전자의 기술 자산으로 승인자만이 사용할 수 있습니다 Part Picture Description 5. R emove the memory by pushing the fixed-tap out and Remove the WLAN Antenna. 6. INS [Caution] Attention to red sentence 3-1. Disassembly and Reassembly R520/ 1 2 1 1. As shown in picture, adhere Knob to the end closely into the arrow direction(1), then push the battery up (2). 2. Picture

More information

Microsoft Word - SRA-Series Manual.doc

Microsoft Word - SRA-Series Manual.doc 사 용 설 명 서 SRA Series Professional Power Amplifier MODEL No : SRA-500, SRA-900, SRA-1300 차 례 차 례 ---------------------------------------------------------------------- 2 안전지침 / 주의사항 -----------------------------------------------------------

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-IK01 User s Manual AN2131, EZ-USB and Cypress are trademarks of Cypress Semiconductor, Keil and uvision2 are trademarks of Keil software. Windows, Windows2000, Windows NT and Windows XP are trademarks

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S

ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit S ConnectCore i.mx53 / Wi-i.MX53 Freescale i.mx53 Cortex A8 system-on-module 네트워크가가능한 i.mx53 ConnectCore는새로운프리스케일 i.mx53 Application 프로세서기반인고성능 32-bit System-on-Module(SoM) 솔루션입니다. 1 GHz ARM Cortex -A8 core의높은성능,

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

분 기 보 고 서 (제 13 기) 사업연도 2014년 01월 01일 2014년 09월 30일 부터 까지 금융위원회 한국거래소 귀중 2014년 11월 21일 제출대상법인 유형 : 면제사유발생 : 주권상장법인 해당사항 없음 회 사 명 : 주식회사 신화콘텍 대 표 이 사 :

분 기 보 고 서 (제 13 기) 사업연도 2014년 01월 01일 2014년 09월 30일 부터 까지 금융위원회 한국거래소 귀중 2014년 11월 21일 제출대상법인 유형 : 면제사유발생 : 주권상장법인 해당사항 없음 회 사 명 : 주식회사 신화콘텍 대 표 이 사 : 목 분 기 보 고 서...1 대표이사 등의 확인...2 I. 회사의 개요...3 1. 회사의 개요...3 2. 회사의 연혁...4 3. 자본금 변동사항...7 4. 주식의 총수 등...8 5. 의결권 현황...11 6. 배당에 관한 사항 등...11 II. 사업의 내용...14 III. 재무에 관한 사항...45 IV. 감사인의 감사의견 등...50 V. 이사의

More information

(72) 발명자 서진교 경기 용인시 수지구 풍덕천2동 1167 진산마을 삼성5차아파트526동 1004호 조필제 경기 용인시 풍덕천동 725-1 유스빌 401호 - 2 -

(72) 발명자 서진교 경기 용인시 수지구 풍덕천2동 1167 진산마을 삼성5차아파트526동 1004호 조필제 경기 용인시 풍덕천동 725-1 유스빌 401호 - 2 - (51) Int. Cl. (19) 대한민국특허청(KR) (12) 공개특허공보(A) G06F 12/14 (2006.01) (21) 출원번호 10-2006-0056087 (22) 출원일자 2006년06월21일 심사청구일자 전체 청구항 수 : 총 18 항 2006년06월21일 (54) 유에스비 메모리 도난 방지 시스템 및 방법 (11) 공개번호 10-2007-0121264

More information

DIB-100_K(90x120)

DIB-100_K(90x120) Operation Manual 사용설명서 Direct Box * 본 제품을 사용하기 전에 반드시 방송방식 및 전원접압을 확인하여 사용하시기 바랍니다. MADE IN KOREA 2009. 7 124447 사용하시기 전에 사용하시기 전에 본 기기의 성능을 충분히 발휘시키기 위해 본 설명서를 처음부터 끝까지 잘 읽으시고 올바른 사용법으로 오래도록 Inter-M 제품을

More information

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

Hardware Manual TSP100

Hardware Manual TSP100 Trademark acknowledgments TSP: Star Micronics., Ltd. Notice All rights reserved. Reproduction of any part of this manual in any form whatsoever, without STAR s express permission is forbidden. The contents

More information

IM-20 4 5 6 7 8 9 10 11 12 Power On Power Off 13 1 4 15 16 17 18 19 20 21 22 23 24 25 26 2 7 28 29 30 31 3 2 Music Voice Settings Delete EQ Repeat LCD Contrast Auto OFF Rec Sample BackLight Return Normal

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

EISC-GANG_User_Guide_V1.2

EISC-GANG_User_Guide_V1.2 HW/SW User Guide EISC-GANG II 사용 설명서 Ver 1.2, 2012-09-20 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced

More information

DWCOM15/17_manual

DWCOM15/17_manual TFT-LCD MONITOR High resolution DWCOM15/17 DIGITAL WINDOW COMMUNICATION DIGITAL WINDOW COMMUNICATION 2 2 3 5 7 7 7 6 (Class B) Microsoft, Windows and Windows NT Microsoft VESA, DPMS and DDC Video Electronic

More information

Microsoft PowerPoint - XAD-400.ppt [호환 모드]

Microsoft PowerPoint - XAD-400.ppt [호환 모드] 4Ch H.264 Hardware Codec H.264 User s Manual VER 2.0 4 Channel Real-time playback / USB backup The most stable and reliable real stand alone Digital Video Multiplex Recorder 설치 및 사용시 주의사항 초기설치 및 사용시 주의사항

More information

Flute-GR_BV199_DOS.indb

Flute-GR_BV199_DOS.indb 안전을 위한 주의사항 사용자의 안전을 지키고 재산상의 손해 등을 막기 위한 내용입니다. 반드시 읽고 올바르게 사용해 주세요. BV-199 사용설명서 차례 1 장. 컴퓨터 시작 차례 3 제품의 특장점 6 사용설명서를 읽기 전에 7 안전을 위한 주의사항 10 사용시 올바른 자세 20 제품의 구성물 23 기본 구성물 23 각 부분의 명칭 24 앞면 24 뒷면 25

More information

Microsoft Word - ZIO-AP1500N-Manual.doc

Microsoft Word - ZIO-AP1500N-Manual.doc 목 차 사용자 설명서 1 장 제품 소개 ------------------------------ 1 2 장 제품 내용물 ---------------------------- 2 3 장 AP 연결 설정 방법 ------------------------ 3 4 장 동작 방식별 설정 방법 --------------------- 7 (1) 엑세스 포인트 모드 -----------------------

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0 TMS0F85 초소형메모리모듈매뉴얼 TMS0F85 초소형메모리모듈제품매뉴얼 V.5. Tel. 0-78-8 Fax. 0-706-8 E-mail. dsptools@syncworks.co.kr [] page TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 09. 0. 9. - Rev..5 09. 0. 09. - Rev..5., 부트모드선택회로설명추가,

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은

고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은 CAR AUDIO SYSTEM 3XKRC07 AM100MDDG 사용설명서 ATYPE 고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은 제품의

More information

acdc EQ 충전기.hwp

acdc EQ 충전기.hwp www.sjproporc.com DIGITAL CHARGER & DISCHARGER Intelligent Balancer SJPROPO 서울특별시 강남구 일원동 642-11 대도빌딩 202호 2006 SJPROPO INC. SJ INCORPORATED 사용 설명서 제품 구성물 동작 중 표시 화면 B L C : B A L A N C E R C O N N E C

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

amazon-ii_stk_header_130704_162

amazon-ii_stk_header_130704_162 GP_[0..] VP LE GREEN 0_led GP_[0..] K-%60_resR GP_[0..] GP_[0..] GP_[0..] GP_[0..] GP0_[0..] GP_[0..] GP_[0..] GP_[0..] GP0_0 GP0_ GP0_ GP0_ GP0_ GP0_ GP0_6 GP0_ GP_0 GP_ GP_ GP_ GP_ GP_ GP_6

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 400FP-2 460FP-2 400FPn-2 460FPn-2 ii Floor standing type) Note LCD Display MagicInfo Software CD MagicInfo Manual CD (FPn-2.) (AAA X 2) (FPn-2.) BNC to RCA (46.) D-Sub DVI

More information

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770>

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770> Black Key Region Cr R Linear Key Region θ White Key Region Cb θ Table θ Table for Chroma Suppress 1 255 0 θc θ Table for Linear Key θs θw1 θs θw2 Radius Table R Table for Chroma Suppress 1 255 0 Rc R Table

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 -------------

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 ------------- [ CALLAS Network Player ] Owner s Manual ( 주 ) 금 잔 디 음 향 예.술.을.담.는.스.피.커.과.학 Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------

More information

인디쓔피-IOM핸돜벁닄큐1014pdf, page 59 @ Preflight ( IOM핸돜벁닄큐__1014 )

인디쓔피-IOM핸돜벁닄큐1014pdf, page 59 @ Preflight ( IOM핸돜벁닄큐__1014 ) Publisher: International Organization for Migration 17, route des Morillons 1211 Geneva 19 Switzerland Tel: +41.22.717 91 11 Fax: +41.22.798 61 50 E-mail: hq@iom.int Internet: http://www.iom.int ISBN 978

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

<BFC0B7A3C1F6C4B72DBBE7BFEBC0DABCB3B8EDBCAD5FC8AEC0E5BABB28343070C7D1B1DB295F32303133303631312E6169>

<BFC0B7A3C1F6C4B72DBBE7BFEBC0DABCB3B8EDBCAD5FC8AEC0E5BABB28343070C7D1B1DB295F32303133303631312E6169> SMART CAM 내 손안의 스마트 CCTV Orange Box 400/800 사용자 설명서 Part.01 시작에 앞서 일러두기 본 설명서를 분실하였을 경우 http://myorangecam.com 에서 설명서를 다운받아서 다시 보실 수 있습니다. (PDF 문서는 Adobe Reader 가 PC에 설치되 있어야 하며 http://kr.adobe.com 에서

More information

Microsoft Word - 青野論文_李_.doc

Microsoft Word - 青野論文_李_.doc 식민지 조선에 있어서 농촌진흥운동기의 경신숭조( 敬 神 崇 祖 ) -조선총독부의 신사정책과 관련하여- 아오노 마사아키( 青 野 正 明 ) 모모야마가쿠인대학( 桃 山 学 院 大 学 ) 번역:이화진 들어가는 말 본고에서는 주로 1930 년대 전반에 조선총독부에 의해 실시된 농촌진흥운동 1 에 있어서, 신사정책( 神 社 政 策 )과 관계가 있다고 예상되는 농본주의(

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

1. MEB II 구성및기능 A. MEB II 윗면아래의그림에서와같이 MEB(Multimedia Expansion Board, 이하 MEB) II의위면은다음과같은주요기능이포함되어있습니다. Figure 1 MEB II 레이아웃 (Top View) 디스플레이도터 (daug

1. MEB II 구성및기능 A. MEB II 윗면아래의그림에서와같이 MEB(Multimedia Expansion Board, 이하 MEB) II의위면은다음과같은주요기능이포함되어있습니다. Figure 1 MEB II 레이아웃 (Top View) 디스플레이도터 (daug MEB II Review (Multimedia Expansion Board II) 2016.4 Microchip Korea 김영기 1. MEB II 구성및기능 A. MEB II 윗면아래의그림에서와같이 MEB(Multimedia Expansion Board, 이하 MEB) II의위면은다음과같은주요기능이포함되어있습니다. Figure 1 MEB II 레이아웃 (Top

More information

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및

특허청구의 범위 청구항 1 디바이스가 어플리케이션을 실행하는 방법에 있어서, 상기 디바이스에 연결된 제1 외부 디바이스와 함께 상기 어플리케이션을 실행하는 단계; 상기 어플리케이션의 실행 중에 제2 외부 디바이스를 통신 연결하는 단계; 및 상기 제1 외부 디바이스 및 (19) 대한민국특허청(KR) (12) 공개특허공보(A) (11) 공개번호 10-2014-0033653 (43) 공개일자 2014년03월19일 (51) 국제특허분류(Int. Cl.) G06F 9/44 (2006.01) G06F 15/16 (2006.01) (21) 출원번호 10-2012-0099738 (22) 출원일자 2012년09월10일 심사청구일자 없음

More information

Microsoft Word - SMB-63-2_KR_.doc

Microsoft Word - SMB-63-2_KR_.doc 보충 설명서 압소덱스 AX9000TS/TH-U3 (PROFIBUS-DP 사양) SMB-63K-2 머리글 이번에 당사의 압소덱스를 선정해 주셔서 대단히 감사합니다. 압소덱스는 일반 산업용 조립 기계나 검사 기계 등의 간헐 작동 턴테이블 등을 유연하고 정밀도 높게 구동하기 위해 개발된 다이렉트 드라이브 인덱 스 유닛입니다. 본 설명서는 압소덱스 AX9000TS/TH(PROFIBUS-DP

More information

G2011WDT-Manual-LG(CCNF-Ver02).xls

G2011WDT-Manual-LG(CCNF-Ver02).xls 이 기기는 가정용으로 전자파 적합 등록을 한 기기로써 주거지역에는 물론 모든 지역에서 사용할 수 있습니다. 사 용 설 명 서 20.1" TFT LCD TV+Monitor Model : G2011WDT 금미전자 안전을 위한 주의사항 안전을 위한 주의사항은 제품의 안전하고 올바른 사용과 사고나 위험을 사전에 막기 위한 것이므로 반드시 지켜 주시기 바랍니다. 모니터

More information

Microsoft Word - EISC-GANG_User_Guide_V1.4.doc

Microsoft Word - EISC-GANG_User_Guide_V1.4.doc HW/SW User Guide EISC-GANG II 사용 설명서 Ver 1.4, 2014-2-7 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced Digital

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information