Microsoft PowerPoint - ì‹ŸíŁ©ë³¸

Size: px
Start display at page:

Download "Microsoft PowerPoint - ì‹ŸíŁ©ë³¸"

Transcription

1 독립트랙 ( 지도교수 : 강문기 ) 열악한기상상황영상에서의눈 / 비 / 안개제거기술연구 악천후상황에서기상상태의영향을받지않은영상을복원하고자하는문제에서출발 그림 1. 눈 / 비가포함된영상과제거된영상 - 그림 1 과같이열악한기상상황의영상에서눈 / 비를검출하여제거하는알고리즘개발. - 일반영상에눈 / 비를더한영상을생성해 deep learning 을위한 training set 으로활용. - Multiscale transform, residual image, detail image 등을사용하여눈 / 비의특징을잘나타낼수있는모델확립및제거필터구성 - 학습된모델을바탕으로한알고리즘을통해눈 / 비가제거된영상획득.

2 독립트랙 ( 지도교수 : 강성호 ) ECC(Error Correction Code) 메모리를위한하드웨어설계 데이터를읽고쓰는과정에서발생하는오류데이터를찾아내고수정할수있는 ECC 메모리의설계및동작검증을위한시뮬레이터개발 - 그림 1 과같이 parity 를이용한메모리오류의검출후시스템정지없이오류정정이가능한 ECC 메모리설계. - Parity check 를통해검출된오류데이터에대한정정알고리즘으로오류를정정한다. 그림 1. ECC 의구현원리 - 하드웨어최적화를통하여 ECC 메모리의하드웨어오버헤드를최소화하고, 설계된 ECC 메모리에대한시뮬레이터를개발하여동작을검증한다.

3 독립트랙 ( 지도교수 : 김대은 ) 식물과의대화 식물상태를실시간으로확인할수있는방법고안 Raspberry Pi 3 Model B Server (Linux) Desktop Lux data Voltage data Plant Arduino Uno Process Data Analysis Data acquisition Data storage Data processing 그림 1. 시스템구성다이어그램 그림 2. 개발중인침습적측정시스템 - 그림 1 과같이, 식물의전기적신호혹은를측정하여데이터수집및분석을하는시스템을구성한다. - 측정방법은침습적 ( 그림 2. 와같이현재개발중인방법 ) 과비침습적 ( 화학성분, 저주파신호측정 ) 방법을고려중이다. - 다양한상황 (ex, 물공급, 고온공급, 음악공급등 ) 에따라식물의신호를분석한다 시간동안모니터링을통해데이터수집을하여식물의상태를파악할수있다.

4 독립트랙 ( 지도교수 : 김대은 ) 진동센싱을통한액체의부피변화추정시스템 진동센싱시스템을통해관찰중인액체의상태를실시간으로확인할수있는시스템고안 Vibration sensor Raspberry Pi 3 Model B Server (Linux) Desktop Vibration data Liquid Arduino Uno Process Data Analysis Data acquisition Data storage Data processing Vibration motor 그림 1. 시스템구성다이어그램 그림 2. 진동센싱시스템예시 - 그림 2 과같이, 진동모터와진동측정센서를통해진동을발생시키고액체의상태에따라다르게측정되는진동신호의데이터수집및분석. - 저주파, 고주파대역의다양한진동주파수실험및최적의주파수분석. - 다양한상황 (ex, 온도변화, 부피변화, 액체종류변화, ) 에따른데이터분석.

5 차량용 V2V(Vehicle to Vehicle) 통신기반의고정밀분산측위기술 ( 지도교수 : 김동구 ) 자율주행서비스제공을위한차량간분산측위기반의의고정밀위치추정연구개발 - 어떤차는도로인프라를이용해서차량의정확한위치를추정할수있는반면, 어떤차는자기홀로세선기반, 또는부정확한 GPS 로부정확한차량의위치추청을한다. - 차량간통신 (Vehicle to Vehicle Communication, V2V) 이 LTE 와 5G 에서표준기술이개발되었다. 차량간통신채널을 SideLink 라고한다. - V2V 통신을이용해서, 차량간에위치정보 ( 위치정보의확률밀도함수 ) 들을서로교환하게되면, 정확한차량의위치정보를이용해서, 부정확한차량도보다정확히추정이가능한다. 이런기술을분산측위기술이라고하고서로자기의위치정보 (message) 를주고받는방식을 message passing 이라고한다. 그림 1. 차량간통신네트워크의기본모델 - 본연구에서는 V2V 통신채널을이용해서, 차량의위치정보를주고받는 message passing 코드를작성해보고, 고속물체를추적하는 Kalman-Filter 를연계해서고속도로환경에 V2V 통신에주기에따라서정밀분산측위의성능을조사해본다.

6

7 독립트랙 ( 지도교수 : 김한준 ) 동형암호 GPU 가속연구 Microsoft SEAL 라이브러리를이용한샘플프로그램작성 Microsoft SEAL 라이브러리를 GPU로병렬화 SEAL 프로그램최적화 동형암호예제 ( 검은색연산부분을 GPU 로가속 )

8 독립트랙 ( 지도교수 : 김현재 ) IGZO:PTFE passivation layer 를통한 IGZO TFT 의기계적, 전기적신뢰성향상연구 그림 1. IGZO:PTFE passivation layer 제작연구 그림 2. 다양한공정조건에따른 passivation layer 제작 그림 3. Oxide TFT 의기계적, 전기적신뢰성측정 IGZO 및 PTFE 물질에대한특성이해및 oxide TFT 제작공정에대한이해 다양한 co-sputtering 공정조건변화에따른 passivation layer 의전기적특성연구 Passivation layer 도입에따른 oxide TFT 의기계적, 전기적신뢰성향상연구

9 독립트랙 ( 지도교수 : 김형준 ) Synthesis of Mo x W 1-x S 2 by Atomic Layer Deposition and its Application for Gas Sensor <Two-dimensional Transition Metal Dichalcogenide> <Atomic Layer Deposition> Precursor Purge Thickness - 2D TMD : Semiconducting materials - Large surface-to-volume ratio High performance for gas sensor Purge Reactant Advantage of ALD for synthesizing the 2D TMD - Layer controllability, wafer-scale uniformity - Composition controllability <ALD Equipment> Experimental Details <Precursors> <2D TMD Gas Sensor> Comb shape Electrodes Mo(CO) 6 W(CO) 6 H 2 S [ALD Super Cycle] Mo(CO) 6 Ar purging H 2 S Reactant Ar purging Tube type furnace Vacuum : ~10-2 Torr Temperature : ~300 W(CO) 6 1 CYCLE Ar purging H 2 S Reactant Ar purging 1 CYCLE x m cycles x n cycles Mo 1-x W x S 2

10 독립트랙 ( 지도교수 : 민병욱 ) 밀리미터파위상배열시스템을위한양방향증폭기 (Bidirectional Amplifier) 설계 수신 (RX) 과송신 (TX) 모두에사용되기위한증폭기설계 - 그림 1 과같이안테나와위상배열시스템사이에수신과송신을위해증폭기필요함 - 수신을위해서는낮은노이즈를갖는저잡음증폭기 (Low Noise Amp) 가필요하고, 송신을위해서는높은출력전력을갖는고출력증폭기 (Power Amp) 가필요함 그림 1. 위상배열시스템에서양방향증폭기의사용예 - 30 GHz 밀리미터파주파수대역에서 Electromagnetic Simulation 을포함한 Circuit Simulation 과 Layout 을까지완성함

11 독립트랙 ( 지도교수 : 박정욱 ) 풍력발전기수용성확대를위한관성제어개발 풍력발전기관성제어개발및분석을수행하여계통에외란발생시주파수안정성을증가시키는것을연구목표로함 - 재생에너지 3020 정책으로 2030 년까지풍력발전기가 17.7GW 로증설될예정 - 풍력발전기의기존제어방법인 Maximum Power Point Tracking(MPPT) 은계통상황과무관하게풍속에만의존하여출력하기때문에외란발생시주파수하락을심화시킴 - 성공적으로풍력발전기를증설하기위해서는 MPPT 제어외에주파수안정성을유지및증가시킬수있는관성제어는필수적임 < 재생에너지 3020 정책 > < 풍력발전 stepwise 관성제어예시 >

12 독립트랙 ( 지도교수 : 서정목 ) CNT 기반삽입형무선약물전달소자개발 블루투스를통해전기자극약물전달및상처치유촉진 - 그림 1 과같이높은생체적합성, 전도성그리고발광이가능한광역학치료소자제작. 1. 높은생체적합성및전도성을가지는 CNT 기반전도성소자개발및최적화 그림 1. CNT 기반삽입형소자를통한빛발산 2. 제작한 CNT 기반소자와발광물질을결합, 전기적자극을통한빛발현확인

13 독립트랙 ( 지도교수 : 서정목 ) Micro Pattern 과형광기반이미지분석에기반한질병진단시스템개발 미세액적시스템과형광이미지분석을통한질병진단 - 그림 1 과같이 Micro Patterning 을이용한질병진단시스템개발 1. Patterning 을통해적은양의타겟샘플을분석할수있는센싱기판을제작 그림 1. 마이크로패턴과이미지분석을통한진단시스템 2. 형광표지를통해타겟샘플을분석, MATLAB 을이용한자동분석시스템개발.

14 독립트랙 ( 지도교수 : 안종현 ) Wireless electrophysiological signal measuring system with smartphone application 생체신호측정용무선웨어러블기기를구현과스마트폰어플리케이션 ECG 그림 1. 생체신호측정용무선웨어러블기기를구현과스마트폰어플리케이션 그림 1 과같이인간의생체신호중 ECG 나 EMG 를측정하는그래핀기반의웨어러블센서. 그래핀기반의웨어러블센서는플렉서블하고기계적특성이좋아피부위에서보다적은노이즈로전기생체신호를측정할수있다. 이러한센서로측정된전기신호를블루투스로스마트폰에무선신호를보내실시간으로모니터링하며, 심장이상문제가발생했을때, 긴급구조요청을보내거나근육신호를읽어 machine interface 를구현할수있다. EMG

15 독립트랙 ( 지도교수 : 유기준 ) 측정시작시간을임의로조절할수있는생분해성뇌신경활동측정용전극 On-demand Start of Measurement Light Degradation 시간에따른생체신호측정 t 2 차개두수술이필요치않은생분해성생체신호측정용디바이스의단점 -> 디바이스생분해시작시간을임의로정할수없음 빛에녹으며, 생체적합한필름코팅을통한디바이스임시보호 -> 빛에의해 Degradation 및생체신호측정시작시간을임의로조절

16 독립트랙 ( 지도교수 : 유기준 ) NFC 를이용한생체삽입형무선 Nerve Cuff Nerve Cuff Sciatic Nerve 2 Nerve Cuff 를이용해쥐의뒷다리신경을자극및측정한다. 무선통신을위해 NFC 시스템을통합한다 활동의제한없이신경을자극및측정을가능하게한다.

17 독립트랙 ( 지도교수 : 육종관 ) 플라즈마의분산특성분석연구 연구배경 플라즈마의분산특성을파악하기위해서는전자밀도의공간분포가확보되어야한다. 하지만, 정확한전자밀도의공간분포를확보하는것은큰어려움이존재한다. 따라서, 실험을통해 RCS 저감도를측정하여물질의유전율을모델링함으로써전자밀도의분포를고려하지않고플라즈마의분산특성을묘사할수있는방법을제안한다. 연구목표 RCS 측정을통한플라즈마의분산특성모델링 FDTD 알고리즘에적용하기위한분산특성수식화 개발된알고리즘의수치오차개선을통한정확성확보

18 독립트랙 ( 지도교수 : 육종관 ) 얇은메타표면 (Meta-surface) 해석을위한등가모델링코드개발 스텔스항공기에적용되는레이돔과같이유전체와메타표면이결합된구조를해석할수있는일반적인방법이존재하지않음 등가적인방법으로이를해석하고측정을통해메타표면의성능을교차검증 그림 1. 메타표면설계예시및메타표면이적용된다층구조의개념도예시 그림 2. 메타표면최적화시뮬레이션과측정

19 독립트랙 ( 지도교수 : 육종관 ) Lenz Horn Antenna 를이용한자유공간물질특성측정방법 평판시편의유효유전율및투자율측정방법연구 - X-band(8-12 GHz) 전자기파측정 - VNA 와자유공간측정시스템을통하여 S-parameter 를측정 - 측정환경과주변영향을제거하기위한후처리방법을탐구하고적용 - S-parameter 로부터전자기적물질특성을추출하기위한다양한방법적용

20 독립트랙 ( 지도교수 : 육종관 ) 5G 대역에서본딩와이어의전기적특성연구 연구배경 반도체 IC의고속화로인해, 칩뿐만아니라패키징되는주변환경이시스템전체성능에많은영향을미치게됨 패키징기술중에많이사용되는본딩와이어에대해서고주파수특성분석이필요 연구목표 본딩와이어의다양한구조적변수에대해서고주파수특성분석 정확한분석을위한캘리브래이션및디임베딩방법적용 기생성분추출을통해고주파수환경에서의설계가이드라인제시 그림 1. 본딩와이어구조 그림 2. 본딩와이어 S- 파라미터 Ref. Alimenti, Federico, et al. "Modeling and characterization of the bonding-wire interconnection." IEEE Transactions on Microwave Theory and Techniques 49.1 (2001): 그림 3. 추출한기생성분

21

22 독립트랙 ( 지도교수 : 이용식 ) 소스원종류에따른플라즈마의스텔스성능연구 그림 1. DBD 방전플라즈마생성과정 그림 2. DBD 액츄에이터내에발생한플라즈마 스텔스기술은레이더에걸리지않기위한전자기은폐기술이다. 이를실현하기위해서는 RCS 단면적값을낮추어야한다. RCS 단면적이란물체가반사하는레이더의세기를통해정의한면적으로이값이작을수록레이더가물체를감지하기어렵다. 이러한스텔스기능의성능향상을위해플라즈마의특성이이용되고있다. 플라즈마의 RCS 감소특성을변화시키는다양한요인들이있지만, 그중소스원파형에따른변화를연구하게된다. 임의의파형을 Fourier-Transform 을통한 Frequency Domain 에서의분석 (Matlab) 인가하는소스원파형에따라변하는플라즈마의 RCS 감소특성의원인분석및최적의파형을찾아내어검증.

23 독립트랙 ( 지도교수 : 이승아 ) 렌즈리스 360 카메라개발 렌즈리스이미징기법을활용하여 360 파노라마이미징이가능한소형카메라시스템을제작하고영상을획득해본다. - 여러장의사진을이어붙여파노라마이미징을하는기존의방법과다르게, 한번에 360 뷰를측정할수있는초박형렌즈리스카메라시스템을개발하고자한다. - 왼쪽그림에서 curved image sensor 대신여러개의 1D 라인센서를원통형으로배치하여영상을얻는프로토타입을제작한다 - 2D 영상을촬영하는렌즈리스이미징영상복원알고리즘을기반으로 360 파노라마영상복원알고리즘을구현하고이미징실험을수행한다

24 독립트랙 ( 지도교수 : 이충용 ) 심층신경망을활용한초고정밀실내위치추정연구 IoT 기기의확산과함께다양한위치기반서비스 (LBS) 를제공하기위해향상된측위정확도가요구됨 수신한 RF 신호의특징과위치와의비선형적관계학습및학습된신경망기반의측위시스템개발 Observed data PCI, Status, RSRP, TA Data refining PCI, Status, RSRP, TA Deep neural network (DNN)... (x, y, z) 통합적 X-centric 측위시스템

25 독립트랙 ( 지도교수 : 최수용 ) Machine Learning 을적용한 MIMO OFDM 통신시스템설계 DNN detection Output 그림 1. Machine Learning 을적용한 MIMO OFDM 구조 - Multiple Input Multiple Output (MIMO) Orthogonal Frequency Division Multiplexing (OFDM) 통신시스템을 MATLAB toolbox 를통해구현한다. - MIMO OFDM 통신시스템의신호검출에 Machine Learning (DNN) 을적용한다. - USRP 장치를이용하여실제통신환경에서실험을진행한다.

26 Multimedia Security Lab - Andrew Teoh Large-Scale Tiny Face Detection in the Wild Challenge Introduction Face detection the task of localizing all faces captured on images, determines the performance of sequential operations, including face recognition. Recently, to consolidate the state of the art face detection particularly for tiny, or very low-resolution faces, the WIDER Face and Person Challenge Workshop was conducted in conjunction with ICCV Objective: To explore the challenging tiny face detection problem. Methodology 1. Perform literature review on the existing deep learning-based tiny face detection in the wild. 2. Implement tiny face detection on the crowd images crawled from the Internet, or other sources. 3. Demonstrate the state of the art performance on the public face detection datasets, e.g., WIDER Face, FDDB, etc. Tools Python with an open-source deep learning library, preferably Pytorch. Group 2-3 students

27 Multimedia Security Lab Andrew Teoh Ocular+Soft-biometric Recognition in the Wild Soft-biometric Ethnicity: East Asian Gender: Male Age-group: Middle-a ged Eyelid: double Identity Multi-stream CNN Introduction: In recent, face recognition technology is still remaining the challenges for deployments in the unconstrained environments. The combination of ocular and soft biometrics aim to strengthen the performance of face recognition systems in the wild. Objective: To study ocular and soft biometrics using deep learning approaches (multi-stream CNN). Methodology: 1. Collect ocular and soft biometrics data in the wild environments 2. Perform literature review on new approach of CNN architectures. 3. Compare Face recognition via CNN vs (ocular and soft biometrics) recognition simulation using multi-stream CNN. Tools: MATLAB and Python with TensorFlow. Group: 2-3 students FC FC FC

28 독립트랙 ( 지도교수 : Kar-Ann Toh) Pattern Recognition using PCA and Neural Network 차원축소알고리즘들과심층신경망을활용한다양한분야의패턴인식시스템구현 - Principal Components Analysis ( 주성분분석 ), Linear Discriminant Analysis ( 주요인분석 ) - 가장잘알려진인식을위한기본알고리즘 - 차원축소를통한특징추출의구현 Facial expression recognition Hand gesture recognition - 얼굴인식, 감정인식, 목소리인식등관심분야의인식시스템을구현해본다. - 심화내용으로, 구현된인식시스템에 Neural network learning ( 신경망학습 ), Deep learning ( 심층학습 ) 등을적용해본다. Ear biometric Speech recognition 그림 1. 다양한인식작업에대한과거종합설계수강생들의시스템구현결과 - Prerequisite ( 선수과목 ) - Linear Algebra ( 선형대수 ) - Probability and Random Variable ( 확률과랜덤변수 )

29 독립트랙 ( 지도교수 : 한상국 ) 무선광통신 (FSOC) 을위한송수신기법연구 광파이버처럼유선채널이아닌대기채널에서광전송시문제에서시작 1. 대기채널을통한광전송시채널분석 4 σ I 2 = σ I 2 = Time(sec) 그림 1. 대기채널에서의광전송시랜덤한수신신호세기의변화 2-1. 랜덤한수신신호에대해검파를어떻게할지에대한분석 2-2. 다양한변복조기술에대한분석 2-3. 채널열화극복방안도출

30 독립트랙 ( 지도교수 : 한상국 ) 유선광네트워크에서채널열화에따른보상기법 수동광통신망에서광대역신호에대한열화보상 각소자들의발생가능한 Noise 분석 전송중파이버내발생가능한 noise 분석 기존보상기법 research 수동광통신망에특화된보상기법연구 그림 1. schematic diagram of PON

31 독립트랙 ( 지도교수 : 황태원 ) Computationintensive Task 연구주제 : Mobile-Edge Computing (MEC) Local Computing Edge Computing (1 ) I [bit s] VR/AR Speech Recognition Input Data I [bits] Offloading I [bits] Computing Result Mobile Device Base Station MEC Server 연구내용 최근 AR/VR, 실시간게임등의 computation-intensive 한어플리케이션에대한모바일사용자들의요구가증가하고있으나모바일디바이스가이러한요구를수용하기에는에너지와계산능력에한계가있다. Mobile-edge computing 기술은계산의일부를계산능력이뛰어난기지국에오프로딩하여대신처리하게함으로써디바이스의효율적어플리케이션구동을도와준다. Question: 디바이스의에너지소비량및지연시간을최소화하기위한최적의오프로딩, 송신전력할당, CPU frequency 할당기법은무엇인가? 연구계획 1) Mobile-edge computing network 에서의오프로딩, 업링크송신전력, CPU frequency 최적화를통한에너지및지연시간최소화문제설정 2) 관련지식습득및수식유도 3) Simulation (Matlab) 을통한성능검증

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe

Smart & Green Technology Innovator 경선추 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixe Smart & Green Technology Innovator 경선추 2-18. 밀리미터파백홀용 MMIC 설계기술 본기술은 70/80GHz 주파수대역을활용한 PtP(Point-to-Point) 시스템을구성할때필수적인부품인 E-band 용 LNA, DA, PA, Mixer 등, MMIC 설계기술임. -0- 1 기술개요 2 개발기술의주요내용 3 기술적용분야및기술의시장성

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 -

(72) 발명자 정진곤 서울특별시 성북구 종암1동 54-398 이용훈 대전광역시 유성구 어은동 한빛아파트 122동 1301 호 - 2 - (51) Int. Cl. (19) 대한민국특허청(KR) (12) 등록특허공보(B1) H04B 7/04 (2006.01) H04B 7/02 (2006.01) H04L 1/02 (2006.01) (21) 출원번호 10-2007-0000175 (22) 출원일자 2007년01월02일 심사청구일자 2008년08월26일 (65) 공개번호 10-2008-0063590 (43)

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

RRH Class-J 5G [2].,. LTE 3G [3]. RRH, W-CDMA(Wideband Code Division Multiple Access), 3G, LTE. RRH RF, RF. 1 RRH, CPRI(Common Public Radio Interface)

RRH Class-J 5G [2].,. LTE 3G [3]. RRH, W-CDMA(Wideband Code Division Multiple Access), 3G, LTE. RRH RF, RF. 1 RRH, CPRI(Common Public Radio Interface) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 276 282. http://dx.doi.org/10.5515/kjkiees.2015.26.3.276 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) RRH

More information

AV PDA Broadcastin g Centers Audio /PC Personal Mobile Interactive (, PDA,, DMB ),, ( 150km/h ) (PPV,, ) Personal Mobile Interactive Multimedia Broadcasting Services 6 MHz TV Channel Block A Block

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Oct.; 27(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Oct.; 27(10), 926 934. http://dx.doi.org/10.5515/kjkiees.2016.27.10.926 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Multi-Function

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jul.; 27(7), 625634. http://dx.doi.org/10.5515/kjkiees.2016.27.7.625 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Near-Field

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

±èÇö¿í Ãâ·Â

±èÇö¿í Ãâ·Â Smartphone Technical Trends and Security Technologies The smartphone market is increasing very rapidly due to the customer needs and industry trends with wireless carriers, device manufacturers, OS venders,

More information

11 함범철.hwp

11 함범철.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2012 Aug.; 23(8), 958 966. http://dx.doi.org/10.5515/kjkiees.2012.23.8.958 ISSN 1226-3133 (Print) LTCC Bluetooth/WiFi A Bluetooth/WiFi

More information

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph 인터그래프코리아(주)뉴스레터 통권 제76회 비매품 News Letters Information Systems for the plant Lifecycle Proccess Power & Marine Intergraph 2008 Contents Intergraph 2008 SmartPlant Materials Customer Status 인터그래프(주) 파트너사

More information

2 : (Seungsoo Lee et al.: Generating a Reflectance Image from a Low-Light Image Using Convolutional Neural Network) (Regular Paper) 24 4, (JBE

2 : (Seungsoo Lee et al.: Generating a Reflectance Image from a Low-Light Image Using Convolutional Neural Network) (Regular Paper) 24 4, (JBE 2: (Seungsoo Lee et al.: Generating a Reflectance Image from a Low-Light Image Using Convolutional Neural Network) (Regular Paper) 24 4, 2019 7 (JBE Vol. 24, No. 4, July 2019) https://doi.org/10.5909/jbe.2019.24.4.623

More information

서현수

서현수 Introduction to TIZEN SDK UI Builder S-Core 서현수 2015.10.28 CONTENTS TIZEN APP 이란? TIZEN SDK UI Builder 소개 TIZEN APP 개발방법 UI Builder 기능 UI Builder 사용방법 실전, TIZEN APP 개발시작하기 마침 TIZEN APP? TIZEN APP 이란? Mobile,

More information

슬라이드 제목 없음

슬라이드 제목 없음 OFDM (Orthogonal Frequency Division Multiplexing) 서울대학교이동통신연구실 1 Contents Introduction Generation of subcarriers using the IFFT Guard time and cyclic extension Windowing Choice of OFDM parameters OFDM

More information

딥러닝 첫걸음

딥러닝 첫걸음 딥러닝첫걸음 4. 신경망과분류 (MultiClass) 다범주분류신경망 Categorization( 분류 ): 예측대상 = 범주 이진분류 : 예측대상범주가 2 가지인경우 출력층 node 1 개다층신경망분석 (3 장의내용 ) 다범주분류 : 예측대상범주가 3 가지이상인경우 출력층 node 2 개이상다층신경망분석 비용함수 : Softmax 함수사용 다범주분류신경망

More information

아트앤플레이군 (2년제) Art & Play Faculty 95 교육목표 95 군 공통(네트워크) 교과과정표 96 드로잉과 페인팅 Drawing & Painting Major Track 97 매체예술 Media Art Major Track 98 비디오 & 사운드 Video & Sound Major Track 99 사진예술 PHOTOGRAPHIC ART Major

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information

untitled

untitled 전방향카메라와자율이동로봇 2006. 12. 7. 특허청전기전자심사본부유비쿼터스심사팀 장기정 전방향카메라와자율이동로봇 1 Omnidirectional Cameras 전방향카메라와자율이동로봇 2 With Fisheye Lens 전방향카메라와자율이동로봇 3 With Multiple Cameras 전방향카메라와자율이동로봇 4 With Mirrors 전방향카메라와자율이동로봇

More information

05(533-537) CPLV12-04.hwp

05(533-537) CPLV12-04.hwp 모바일 OS 환경의 사용자 반응성 향상 기법 533 모바일 OS 환경의 사용자 반응성 향상 기법 (Enhancing Interactivity in Mobile Operating Systems) 배선욱 김정한 (Sunwook Bae) 엄영익 (Young Ik Eom) (Junghan Kim) 요 약 사용자 반응성은 컴퓨팅 시스템에서 가장 중요 한 요소 중에 하나이고,

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

- 2 -

- 2 - 2014 년융 복합기술개발사업 ( 융 복합과제 ) 제안요청서 목차 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - Ω - 18 - - 19 - - 20 - 기계소재 -001-21 - 기계소재 -002-22 - 기계소재

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Aug.; 27(8),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Aug.; 27(8), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Aug.; 27(8), 709 716. http://dx.doi.org/10.5515/kjkiees.2016.27.8.709 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Development

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45

3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : /45 3 Gas Champion : MBB : IBM BCS PO : 2 BBc : : 20049 0/45 Define ~ Analyze Define VOB KBI R 250 O 2 2.2% CBR Gas Dome 1290 CTQ KCI VOC Measure Process Data USL Target LSL Mean Sample N StDev (Within) StDev

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

컴퓨터과학과 교육목표 컴퓨터과학과의 컴퓨터과학 프로그램은 해당분야 에서 학문적 기술을 창의적으로 연구하고 산업적 기술을 주도적으로 개발하는 우수한 인력을 양성 함과 동시에 직업적 도덕적 책임의식을 갖는 IT인 육성을 교육목표로 한다. 1. 전공 기본 지식을 체계적으로

컴퓨터과학과 교육목표 컴퓨터과학과의 컴퓨터과학 프로그램은 해당분야 에서 학문적 기술을 창의적으로 연구하고 산업적 기술을 주도적으로 개발하는 우수한 인력을 양성 함과 동시에 직업적 도덕적 책임의식을 갖는 IT인 육성을 교육목표로 한다. 1. 전공 기본 지식을 체계적으로 2015년 상명대학교 ICT융합대학 컴퓨터과학과 졸업 프로젝트 전시회 2015 Computer Science Graduate Exhibition 2015 Computer Science Graduate Exhibition 1 컴퓨터과학과 교육목표 컴퓨터과학과의 컴퓨터과학 프로그램은 해당분야 에서 학문적 기술을 창의적으로 연구하고 산업적 기술을 주도적으로 개발하는

More information

Sequences with Low Correlation

Sequences with Low Correlation 레일리페이딩채널에서의 DPC 부호의성능분석 * 김준성, * 신민호, * 송홍엽 00 년 7 월 1 일 * 연세대학교전기전자공학과부호및정보이론연구실 발표순서 서론 복호화방법 R-BP 알고리즘 UMP-BP 알고리즘 Normalied-BP 알고리즘 무상관레일리페이딩채널에서의표준화인수 모의실험결과및고찰 결론 Codig ad Iformatio Theory ab /15

More information

Coriolis.hwp

Coriolis.hwp MCM Series 주요특징 MaxiFlo TM (맥시플로) 코리올리스 (Coriolis) 질량유량계 MCM 시리즈는 최고의 정밀도를 자랑하며 슬러리를 포함한 액체, 혼합 액체등의 질량 유량, 밀도, 온도, 보정된 부피 유량을 측정할 수 있는 질량 유량계 이다. 단일 액체 또는 2가지 혼합액체를 측정할 수 있으며, 강한 노이즈 에도 견디는 면역성, 높은 정밀도,

More information

Microsoft PowerPoint - AC3.pptx

Microsoft PowerPoint - AC3.pptx Chapter 3 Block Diagrams and Signal Flow Graphs Automatic Control Systems, 9th Edition Farid Golnaraghi, Simon Fraser University Benjamin C. Kuo, University of Illinois 1 Introduction In this chapter,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 54 62. http://dx.doi.org/10.5515/kjkiees.2015.26.1.54 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Apr.; 29(4),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Apr.; 29(4), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Apr.; 29(4), 308 315. http://dx.doi.org/10.5515/kjkiees.2018.29.4.308 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) LFM

More information

Preliminary spec(K93,K62_Chip_081118).xls

Preliminary spec(K93,K62_Chip_081118).xls 2.4GHz Antenna K93- Series KMA93A2450X-M01 Antenna mulilayer Preliminary Spec. Features LTCC Based designs Monolithic SMD with small, low-profile and light-weight type Wide bandwidth Size : 9 x 3 x 1.0mm

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

24 GHz 1Tx 2Rx FMCW ADAS(Advanced Driver Assistance System).,,,. 24 GHz,, [1] [4]. 65-nm CMOS FMCW 24 GHz FMCW.. 송수신기설계 1 1Tx 2Rx FMCW (Local Oscillat

24 GHz 1Tx 2Rx FMCW ADAS(Advanced Driver Assistance System).,,,. 24 GHz,, [1] [4]. 65-nm CMOS FMCW 24 GHz FMCW.. 송수신기설계 1 1Tx 2Rx FMCW (Local Oscillat THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 758 765. http://dx.doi.org/10.5515/kjkiees.2018.29.10.758 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) 24

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

Ch 1 머신러닝 개요.pptx

Ch 1 머신러닝 개요.pptx Chapter 1. < > :,, 2017. Slides Prepared by,, Biointelligence Laboratory School of Computer Science and Engineering Seoul National University 1.1 3 1.2... 7 1.3 10 1.4 16 1.5 35 2 1 1.1 n,, n n Artificial

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

ApplicationKorean.PDF

ApplicationKorean.PDF Sigrity Application Notes Example 1 : Power and ground voltage fluctuation caused by current in a via passing through two metal planes Example 2 : Power/ground noise and coupling in an integrated-circuit

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

-

- World Top 10 by 2030 CONTENTS CONTENTS 02 03 PRESIDENT S MESSAGE 04 05 VISION GOALS VISION GOALS STRATEGIES 06 07 HISTORY 2007 2008 2009 2010 2011 08 09 UNIST POWER 10 11 MPI USTC UNIST UCI UTD U-M GT

More information

Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University

Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University Network Security - Wired Sniffing 실습 ICNS Lab. Kyung Hee University Outline Network Network 구조 Source-to-Destination 간 packet 전달과정 Packet Capturing Packet Capture 의원리 Data Link Layer 의동작 Wired LAN Environment

More information

½Éº´È¿ Ãâ·Â

½Éº´È¿ Ãâ·Â Standard and Technology of Full-Dimension MINO Systems in LTE-Advances Pro Massive MIMO has been studied in academia foreseeing the capacity crunch in the coming years. Presently, industry has also started

More information

29 Ⅰ. 서론 물리학자들이 전파의 이론을 정립한 이후, 이를 기술적으로 실현함은 물론 적정 수준의 19세기 물리학자인 페러데이, 맥스웰, 헤르츠 등의 연구 결과로 인류는 전기장과 자기장의 변화 에 따른 전파를 만들어 낼 수 있게 되었고, 인류에 게 있어 없어서는 안되

29 Ⅰ. 서론 물리학자들이 전파의 이론을 정립한 이후, 이를 기술적으로 실현함은 물론 적정 수준의 19세기 물리학자인 페러데이, 맥스웰, 헤르츠 등의 연구 결과로 인류는 전기장과 자기장의 변화 에 따른 전파를 만들어 낼 수 있게 되었고, 인류에 게 있어 없어서는 안되 Journal of Communications & Radio Spectrum SPECIAL ISSUE 28 TREND REPORT 통신 및 비통신용 전파응용 기술 이슈 및 시사점 글 황태욱 경희대학교 연구교수 (031) 201-3254, twhwang@khu.ac.kr 주제어: 밀리미터파, 테라헤르츠파, 전파응용 기술, ISM 기기 전파자원의 부족문제에 대한

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 유니티와아두이노를활용한 VR 컨트롤러개발 Part 06 헬로앱스코딩교육 김영준 공학박사, 목원대학교겸임교수前 Microsoft 수석연구원 splduino@gmail.com http://www.helloapps.co.kr 키보드로물체생성하기 키보드로물체생성하기 public GameObject CubeObject; public GameObject MyCamera;

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Aug.; 30(8),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Aug.; 30(8), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Aug.; 30(8), 629639. http://dx.doi.org/10.5515/kjkiees.2019.30.8.629 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

PCB ACF 77 GHz. X,,.,. (dip brazing), (diffusion bonding), (electroforming),, [1],[2].. PCB(Printed Circuit Board), (anisotropic conductive film: ACF)

PCB ACF 77 GHz. X,,.,. (dip brazing), (diffusion bonding), (electroforming),, [1],[2].. PCB(Printed Circuit Board), (anisotropic conductive film: ACF) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 752 757. http://dx.doi.org/10.5515/kjkiees.2018.29.10.752 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

<4D6963726F736F667420576F7264202D20B1E2C8B9BDC3B8AEC1EE2DC0E5C7F5>

<4D6963726F736F667420576F7264202D20B1E2C8B9BDC3B8AEC1EE2DC0E5C7F5> 주간기술동향 2016. 5.18. 컴퓨터 비전과 인공지능 장혁 한국전자통신연구원 선임연구원 최근 많은 관심을 받고 있는 인공지능(Artificial Intelligence: AI)의 성과는 뇌의 작동 방식과 유사한 딥 러닝의 등장에 기인한 바가 크다. 이미 미국과 유럽 등 AI 선도국에서는 인공지능 연구에서 인간 뇌 이해의 중요성을 인식하고 관련 대형 프로젝트들을

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제

Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제 Artificial Intelligence: Assignment 6 Seung-Hoon Na December 15, 2018 1 1.1 Sarsa와 Q-learning Windy Gridworld Windy Gridworld의 원문은 다음 Sutton 교재의 연습문제 6.5에서 찾아볼 수 있다. http://incompleteideas.net/book/bookdraft2017nov5.pdf

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line

,.. 2, , 3.. 본론 2-1 가상잡음신호원생성원리, [8].,. 1.,,. 4 km (13.3 μs).,. 2 (PN code: Pseudo Noise co- 그림 2. Fig. 2. Pseudo noise code. de). (LFSR: Line THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jun; 26(6), 546 554. http://dx.doi.org/10.5515/kjkiees.2015.26.6.546 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Proof-of-Concept

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 26(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 26(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 215 Mar.; 26(3), 248 256. http://dx.doi.org/1.5515/kjkiees.215.26.3.248 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Metal

More information

Chapter4.hwp

Chapter4.hwp Ch. 4. Spectral Density & Correlation 4.1 Energy Spectral Density 4.2 Power Spectral Density 4.3 Time-Averaged Noise Representation 4.4 Correlation Functions 4.5 Properties of Correlation Functions 4.6

More information

10 이지훈KICS2015-03-068.hwp

10 이지훈KICS2015-03-068.hwp 논문 15-40-05-10 The Journal of Korean Institute of Communications and Information Sciences '15-05 Vol.40 No.05 http://dx.doi.org/10.7840/kics.2015.40.5.851 가로등 인프라를 활용한 안전한 스마트 방범 시스템 차 정 화, 이 주 용 *, 이

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 29(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 29(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 415423. http://dx.doi.org/10.5515/kjkiees.2018.29.6.415 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

<3034B1E2B9DD32302DBAB8B0EDBCAD2D524150412DC0FCC6C4C0DABFF8303528BAB0C3A53420C8A8B3D7C6AEBFF6C5A9292E687770>

<3034B1E2B9DD32302DBAB8B0EDBCAD2D524150412DC0FCC6C4C0DABFF8303528BAB0C3A53420C8A8B3D7C6AEBFF6C5A9292E687770> 2004년 12월 31일 주관연구기관 : 한국전파진흥협회 연구 책임자 : 정 신 교 참여 연구원 : 정 성 진 안 준 오 우 현 주 김 선 영 이 영 란 서 지 영 High Data Rate WPAN 기술 UWB / W1394 PDA 지능형에이젼트기술 방범 전력검침 RF ZigBee 수도검침 802.15.3 Web PAD UWB/무선1394

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 29(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 29(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Mar.; 29(3), 233240. http://dx.doi.org/10.5515/kjkiees.2018.29.3.233 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RCS Comparative

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

<32303134B3E220C3DFB0E8C1BEC7D5C7D0BCFAB4EBC8B820B9D720C1A4B1E2C3D1C8B820BEC8B3BBC0E528323031342E31302E323129202832292E687770>

<32303134B3E220C3DFB0E8C1BEC7D5C7D0BCFAB4EBC8B820B9D720C1A4B1E2C3D1C8B820BEC8B3BBC0E528323031342E31302E323129202832292E687770> 초대의 글 존경하는 한국정보통신학회 회원여러분 그리고 귀한 시간을 내시어 이 자리에 참석해 주신 귀빈여러분께 감사의 말씀을 드립니다. 만추의 계절 10월을 맞이하여 진리탐구의 본산인 남서울대학교에서 제 36회 2014년 한국정 보통신학회 추계종합학술대회를 개최하게 되었습니다. 우리 학회는 창립 이래 회원들의 끝 임 없는 노력의 결과로 오늘에 이르렀습니다. 18년이란

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 하나의그룹 FH/FDMA 시스템에서 겹쳐지는슬롯수에따른성능분석 구정우 jwku@eve.yonsei.ac.kr 2000. 4. 27 Coding & Information Theory Lab. Department of Electrical and Computer Engineering, Yonsei Univ. 차례 (Contents) 1. 도입 (Introduction)

More information

중견국외교연구회

중견국외교연구회 중견국외교연구회 주제: 중견국외교와 한국 일시: 2015년 2월 28일 15:30 18:00 장소: 삼성경제연구원 발표: 하영선 교수 참석자: 하영선, 손열, 전재성, 김상배, 마상윤, 배영자, 이신화, 이승주, 우승지, 이용욱, 장혜영, 송태은, 이민정, 최은실, 박지은 손 열: 오늘은 하 선생님 모시고 말씀을 듣는 기회를 가지고자 한다. 지난 1년 동안

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

/ TV 80 () DAB 2001 2002 2003 2004 2005 2010 Analog/Digital CATV Services EPG TV ( 60 ) TV ( Basic, Tier, Premiums 60 ) VOD Services Movies In Demand ( 20 ) Education N- VOD (24 ) Digital Music

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 30(2),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 30(2), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Feb.; 30(2), 124131. http://dx.doi.org/10.5515/kjkiees.2019.30.2.124 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) MIMO

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information

, V2N(Vehicle to Nomadic Device) [3]., [4],[5]., V2V(Vehicle to Vehicle) V2I (Vehicle to Infrastructure) IEEE 82.11p WAVE (Wireless Access in Vehicula

, V2N(Vehicle to Nomadic Device) [3]., [4],[5]., V2V(Vehicle to Vehicle) V2I (Vehicle to Infrastructure) IEEE 82.11p WAVE (Wireless Access in Vehicula THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 215 Jul.; 26(7), 66 612. http://dx.doi.org/1.5515/kjkiees.215.26.7.66 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Dual-Band

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

[Brochure] KOR_TunA

[Brochure] KOR_TunA LG CNS LG CNS APM (TunA) LG CNS APM (TunA) 어플리케이션의 성능 개선을 위한 직관적이고 심플한 APM 솔루션 APM 이란? Application Performance Management 란? 사용자 관점 그리고 비즈니스 관점에서 실제 서비스되고 있는 어플리케이션의 성능 관리 체계입니다. 이를 위해서는 신속한 장애 지점 파악 /

More information

UDP Flooding Attack 공격과 방어

UDP Flooding Attack 공격과 방어 황 교 국 (fullc0de@gmail.com) SK Infosec Co., Inc MSS Biz. Security Center Table of Contents 1. 소개...3 2. 공격 관련 Protocols Overview...3 2.1. UDP Protocol...3 2.2. ICMP Protocol...4 3. UDP Flood Test Environment...5

More information

1 요약문 1. 과제명 : 2. 연구기간 : 계약일 ~ 2016.11.25 3. 연구책임자 : 임영석 4. 계획대진도 2 3 시설 장비명 규격수량 용도 보유현황확보방안비고 Digital Oscilloscope 1 전력전송시스템효율측정 보유 전기장및 자기장측정 1 전기장및자기장측정미보유전파연구원시스템 WorkStation 1 무선전력전송시스템시뮬레이션 보유 가속세트

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 876 884. http://dx.doi.org/10.5515/kjkiees.2015.26.10.876 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

< C0FCC6C4BBEABEF7B5BFC7E E687770>

< C0FCC6C4BBEABEF7B5BFC7E E687770> 한국전파진흥협회 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - Ⅰ - 25 - - 26 - - 27 -

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

CS.hwp

CS.hwp 보고서 2019-11 2019. 1. 3 CS(065770) IT H/W < 작성기관 : 한국기업데이터 > 보고서 ( 요약 ) 보고서 ( 전문 ) 기업현황산업분석기술분석주요이슈및전망 CS(065770) IT H/W 이 보고서는 자본시장 혁신을 위한 코스닥시장 활성화 방안 의 일환으로 코스닥 기업에 대한 투자정보 확충을 위해, 한국거래소와 한국예탁결제원의 후원을

More information

<31312DB1E8BCB1BFEB4B494353323031322D30342D3230375F31C2F7BCF6C1A4B0CBC5E4BABB2E687770>

<31312DB1E8BCB1BFEB4B494353323031322D30342D3230375F31C2F7BCF6C1A4B0CBC5E4BABB2E687770> 논문번호 12-37C-05-11 한국통신학회논문지 '12-05 Vol.37C No.05 광대역 전파방해환경에서 다중대역 GNSS 신호결합에 따른 검파성능 정회원 유 승 수 *, 종신회원 김 선 용 * Detection Performance for Combining Multiband GNSS Signals in Broadband Jamming Environments

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 25(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 25(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Dec.; 25(12), 12751283. http://dx.doi.org/10.5515/kjkiees.2014.25.12.1275 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

Microsoft Word - KSR2015S030

Microsoft Word - KSR2015S030 2015 년도한국철도학회춘계학술대회논문집 KSR2015S030 고속철도를위한 LTE 무선통신에서도플러효과분석 Analysis of Doppler Effect on LTE Wireless Communication for High-Speed Railway 최진규 *, 조한벽 *, 오현서 *, 유일선 **, 유흥균 *** Jin-Kyu Choi *, Hanbyeog

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information