Microsoft Word - HMS39C7092_FlashMemoryProgrammingGuideK_1.0_.doc

Size: px
Start display at page:

Download "Microsoft Word - HMS39C7092_FlashMemoryProgrammingGuideK_1.0_.doc"

Transcription

1 HMS39C 비트 임베디드 플래시 MCU 플래시 메모리 프로그램 설명서 한글 ver 1.0

2 플래시메모리 Flash MCU(HMS39C7092) Released : March 2005 ARM 은 Advanced RISC Machine 의 등록 상표입니다. ARM7TDMI 는 ARM 에서 설계하였습니다. 본 문서의 내용은 사전 양해 없이 바뀔 수 있습니다. 본 문서는 저희 제품을 응용하기 위한 설명 용도로 사용되면, 매그나칩에서는 본 문서인해 본 제품 을 사용하는 데에 따른 제 3 사의 저작권 및 특허 침해에 관련된 어떠한 내용에 대해서도 책임을 지 지 않습니다. 본 문서는 매그나칩 및 다른 제 3 자의 어떠한 특허권의 소유에 대한 내용도 포함하고 있지 않습니다. 이 제품들은 일반 전자 장치에 사용되도록 고려되었습니다 (사무기, 통신기기, 측정장비, 가전 등). 이 제품들을 고성능, 고신뢰성 및 안전에 관련된 분야 (원자력 제어, 우주/항공, 교통신호, 연소제어 및 모든 안전관련 장비 등)에 사용하시기 전에 반드시 매그나칩에 문의 하여 주시기 바랍니다. 사전 에 저희 회사와 상의 없이 개발된 제품에 의해 일어난 손해에 대해서는 책임을 지지 않습니다. Copyright 2005 Magnachip Semiconductor, Inc. All Rights Reserved 2

3 Flash MCU(HMS39C7092) 목 차 플래시메모리 제 1 장... 7 내장 플래시롬 알고리즘 플래시 메모리 프로그램 및 소거 프로그램 및 프로그램 베리파이 프리프로그램과 프리프로그램 베리파이 모드 소거 및 소거 베리파이 모드 제 2 장 PROM 모드 인터페이스 플래시 메모리 PROM 모드 PROM 모드 설정 메모리 맵 PROM 모드 동작 플래시 타이밍과 AC/DC 특성 제 3 장 전기적 특성

4 플래시메모리 그 림 목 차 Flash MCU(HMS39C7092) 그림 1.1 프로그램 및 프로그램 베리파이 순서도... 9 그림 1.2 플래시 섹터 프리프로그램과 프리프로그램 베리파이 순서도 그림 1.3 플래시 소거 알고리즘 그림 1.4 플래시 섹터 소거 와 소거 베리파이 순서도 그림 2.1 읽기 타이밍도 그림 2.2 프리프로그램 및 프로그램의 타이밍도 그림 2.3 소거 타이밍도 그림 2.4 프리프로그램 및 프로그램 베리파이의 타이밍도 그림 2.5 소거 베리파이의 타이밍도

5 Flash MCU(HMS39C7092) 표 목 차 플래시메모리 표 2.1 내부 레지스터를 선택하기 위한 FR_SEL 값 표 2.2 레지스터 억세스를 하기 위한 외부 핀의 설정 표 2.3 소거 섹터 레지스터 표 2.4 내장 플래시 PROM 모드 읽기 및 쓰기를 위한 설정 표 3.1 DC 특성 표 3.2 AC 특성 표 3.3 프로그램 파라미터

6 플래시메모리 Flash MCU(HMS39C7092) 6

7 Flash MCU(HMS39C7092) 플래시롬 알고리즘 제1장 내장 플래시롬 알고리즘 7

8 플래시롬 알고리즘 Flash MCU(HMS39C7092) 1.1 플래시 메모리 프로그램 및 소거 시스템 상에서 플래시 메모리를 프로그램하거나 소거하기 위해서는 CPU 를 이용 한 소프트웨어적인 방법이 이용됩니다. 플래시 메모리의 동작 모드에는 통상 읽기 모드, 프리프로그램/프로그램 모드, 소거 모드, 프리프로그램/프로그램-베리파이 모 드, 그리고 소거 베리파이 모드의 5 가지가 있으며 이러한 동작 모드의 설정은 플 래시 제어 레지스터 FMCR 를 이용해서 합니다. 플래시 메모리는 프로그램이나 소거 중에는 읽을 수 없으므로 플래시 메모리를 프로그램하고 소거하는 부분은 내부 플래시 메모리 이외의 내부 SRAM 또는 외부 메모리에서 실행되어야 합니 다 프로그램 및 프로그램 베리파이 플래시 메모리에 데이터를 쓸 경우 그림 1.1의 프로그램 순서도를 따라야 합니다. 내부의 플래시 메모리는 한번에 16-비트 단위로 프로그램될 수 있습니다. 프로그램 베리파이 모드에서는 플래시 메모리가 정확히 써졌는지 알기 위해 플래시 메모리를 읽어보는 모드로써 특정 주소에 대해 베리파이한 결과와 그 주소에 프로그램한 데이터가 같지 않을 경우에는 두 내용이 일치할 때까지 프로그램과 프로그램 베리파이를 반복해야 합니다. 그러나 만약 프로그램과 프로그램 베리파이가 N_PGM 번 반복되어도 두 내용이 일치하지 않을 경우 프로그램이 실패한 것으로 처리합니다. 표 3.3 프로그램 파라미터 참조 8

9 Flash MCU(HMS39C7092) 플래시롬 알고리즘 Trial Count 0 T_pgm T_PGM addr start address (addr) 0xFFFF Program Phase FMPR 0x02 FMCR 0x01 wait for Tpup T_pgm T_pgm + T_PGMR FMCR 0x05 (addr) data wait for T_pgm addr addr + 2 addr =endaddress? (addr) 0xFFFF FMCR 0x00 wait for Tpdw Verify Phase addr start address FMCR 0x10 wait for Tpup (addr) 0xFFFF wait for T_VFY addr addr + 2 (addr) =data? and addr <endaddress? FMCR 0x00 FMPR 0x00 wait for Tpdw Trial Count++ >N_PGM? addr =endaddress? (verify is OK?) Fail OK 그림 1.1 프로그램 및 프로그램 베리파이 순서도 9

10 플래시롬 알고리즘 Flash MCU(HMS39C7092) 프리프로그램과 프리프로그램 베리파이 모드 프리프로그램과 일반 프로그램은 동일한 레지스터 설정을 갖고 있지만, 특정 어드 레스에 데이터를 쓰는 것은 프로그램으로, 소거를 위해 섹터 영역을 프로그램하는 것은 프리프로그램으로 정의하고 있습니다. 이 모드는 플래시 메모리를 소거하기 위한 첫번째 단계로 소거하기 전에 반드시 프리프로그램과 프리프로그램 베리파이를 실행하여 플래시 메모리의 내용을 모두 '0' 으로 만들어야 합니다. 프리프로그램은 소거할 섹터를 대상으로 섹터 내의 전 영역을 동일한 '0' 값으로 프로그램함으로 인해 모든 플래시 셀이 같은 전위 레벨(프로그램 상태)로 되므로 이미 소거되어 있는 플래시 셀('1' 값을 가진 셀)을 다시 소거하여 과소거(Over- Erase)가 되는 것을 방지합니다. 프리프로그램 모드에서 프리프로그램을 시작하는 어드레스는 소거할 섹터의 시작 어드레스로 설정하며 그 섹터의 마지막 어드레스까지 어드레스를 2 씩 증가시키 며 '0x0000'을 프로그램합니다. 표 2.3 에 섹터와 그에 대응하는 플래시 메모리의 어드레스가 표시되어 있습니다. 섹터 내부의 모든 셀이 성공적으로 프로그램 되었 는지 확인 하기 위해 프리프로그램 베리파이 동작이 필요합니다. 프리프로그램 베 리파이의 설정은 프로그램 베리파이의 설정과 동일합니다. 프리프로그램과 프리프로그램 베리파이 순서는 그림 1.2 과 같습니다. 10

11 Flash MCU(HMS39C7092) 플래시롬 알고리즘 Trial Count 0 T_prepgm T_PGM addr start of block (addr) 0xFFFF Pre-program Phase FMPR 0x02 FMCR 0x01 wait for Tpup T_prepgm T_prepgm + T_PGMR FMCR 0x05 (addr) 0x0000 wait for T_prepgm addr addr + 2 ptr=end of block? (addr) 0xFFFF FMCR 0x00 wait for Tpdw Verify Phase addr start of block FMCR 0x10 wait for Tpup (addr) 0xFFFF wait for T_VFY addr addr + 2 (addr)=0x0000? and addr <end of block? FMCR 0x00 FMPR 0x00 wait for Tpdw Trial Count++ >N_PGM? addr =end of block? (verify is OK?) Fail OK 그림 1.2 플래시 섹터 프리프로그램과 프리프로그램 베리파이 순서도 11

12 플래시롬 알고리즘 Flash MCU(HMS39C7092) 소거 및 소거 베리파이 모드 플래시 메모리의 소거 동작은 섹터 단위로 수행됩니다. 플래시 메모리를 소거하기 위해서는 소거 섹터 선택 레지스터인 FESR 에 소거할 영역을 설정해야 합니다. 만약 FESR 에 한번에 여러 비트를 1 로 두게 되면 여러 개의 섹터를 한번에 소 거할 수 있습니다. 한번에 소거 할 수 있는 섹터의 갯수는 최대 4 개입니다. 소거한 섹터 내부의 모든 셀이 성공적으로 소거되었는 지 확인하기 위해서 소거 후에 소거 베리파이를 실행합니다. 소거 베리파이 모드에서 베리파이를 시작하는 어드레스는 소거한 섹터의 시작 어드레스로 설정하며 그 섹터의 마지막 어드레스 까지 2 씩 어드레스를 증가시키며 비교합니다. 표 2.3 에 소거 섹터와 그에 대응 하는 플래시 메모리의 어드레스가 표시되어 있습니다. 만약 특정 어드레스의 소거 베리파이 결과가 소거된 상태, 죽 0xFFFF 가 아니면 소거될 때까지 반복해서 실 행합니다. 그러나 소거와 소거 베리파이가 N_ERASE 번까지 반복되어도 베리파 이 결과가 0xFFFF 가 아니면 소거가 실패한 것으로 처리합니다. 내부 플래시 메모리의 소거 순서는 그림 1.3 과 같습니다. 주의 주의: 지정한 시간 이상의 과도한 소거를 수행할 경우 내장 플래시 메 모리가 영구적으로 파손될 수 있습니다. start 프리프로그램 및 프리프로그램 베리파이 프리프로그램 성공? 소거 및 소거 베리파이 소거 성공? 블록 변경 다음 블록 소거? Fail end 그림 1.3 플래시 소거 알고리즘 표 3.3 프로그램 파라미터 참조 12

13 Flash MCU(HMS39C7092) 플래시롬 알고리즘 Trial Count 0 T_erase T_ERASE FMPR 0x12 Erase Phase FMCR 0x02 wait for Tpup If Trial Count >= 3 and Trial Count < 20 and Trial Count is Even then, T_erase T_erase + T_ERASER FESR sector-to-erase FMCR 0x0A wait for T_erase FMCR 0x00 FMPR 0x00 wait for Tpdw Verify Phase addr start of block FMCR 0x20 wait for Tpup (addr) 0xFFFF wait for T_VFY addr addr + 2 (addr)=0xffff? & addr< end of block? FMCR 0x00 wait for Tpdw Trial Count++ >N_ERASE? addr=endaddress? (verify is OK?) Fail OK 그림 1.4 플래시 섹터 소거 와 소거 베리파이 순서도 13

14 플래시롬 알고리즘 Flash MCU(HMS39C7092) 14

15 Flash MCU(HMS39C7092) PROM 모드 인터페이스 제2장 PROM 모드 인터페이스 15

16 PROM 모드 인터페이스 Flash MCU(HMS39C7092) 2.1 플래시 메모리 PROM 모드 HMS39C7092 는 플래시 메모리를 프로그램/소거하기 위해서 인-시스템-프로그램 (ISP) 모드 뿐만 아니라 PROM 모드도 지원합니다. PROM 모드에서는 PROM 과 유사하게 어드레스와 데이터 및 제어 신호가 입력 및 출력이 되므로, 외부에서 PROM 과 같이 억세스하면서 자체 PROM 알고리즘을 이용하여 프로그램 및 소거 가 가능합니다 PROM 모드 설정 PROM 모드는 MD[2:0]의 핀을 모드 1 로 설정하므로써 동작 됩니다. 이때의 핀의 설정은 통상모드와는 별도로 정의된 핀 배치로 작동됩니다. 표 2.1 과 같이 FR_SEL 핀을 설정하여 내부 플래시 메모리의 내부 레지스터를 FD 를 통해 직접 쓰거나 읽을 수 있습니다. FR_SEL 이 설정되고 FWEB 의 상승 에지가 발생하면 FD 에 실린 데이터가 FR_SEL 에 의해 선택된 레지스터로 써 집니다. FR_SEL 이 설정되고 FOEB 가 L 이면 선택된 레지스터의 현재 값이 FD 를 통해서 출력됩니다. 표 2.2 은 레지스터를 읽거나 쓰기 위한 FR_SEL 이외의 외부 핀들의 설정을 보여줍니다. 표 2.1 내부 레지스터를 선택하기 위한 FR_SEL 값 FR_SEL[2:0] 레지스터 읽기 레지스터 쓰기 000 Sense Data 예약 001 FMAR FMAR & FMDR 010 FMDR 예약 011 FMCR FMCR 100 FESR FESR 101 FMPR FMPR 표 2.2 레지스터 억세스를 하기 위한 외부 핀의 설정 레지스터 핀 명칭 모드 FRSTB FCEB FWEB FOEB FD FA 읽기 H L H L 읽어낸 값 어드레스 쓰기 H L H 써넣을 값 어드레스 16

17 Flash MCU(HMS39C7092) PROM 모드 인터페이스 메모리 맵 PROM 모드에서 내부 플래시는 96K x 16 비트 메모리로 구성되어 있습니다. 이에 따라 내부 플래시 메모리를 직접 억세스할 경우에는 어드레스가 16 비트 단위로 구성되어 있으므로 실제 어드레스/2 의 값을 FA [17:1] 의 어드레스 입력으로 주어 야만 됩니다. 소거 동작은 섹터 단위로 수행되며 각 섹터에 대응되는 어드레스는 표 2.3 와 같습니다. 표 2.3 소거 섹터 레지스터 섹터 번호 섹터 크기 FA [17:1] 내부 시작 어드레스 0 8KB (4K-워드) 0x00000 ~ 0x00FFF 0x0800_ KB (4K-워드) 0x01000 ~ 0x01FFF 0x0800_ KB (12K-워드) 0x02000 ~ 0x04FFF 0x0800_ KB (12K-워드) 0x05000 ~ 0x07FFF 0x0800_A KB (16K-워드) 0x08000 ~ 0x0BFFF 0x0801_ KB (16K-워드) 0x0C000 ~ 0x0FFFF 0x0801_ KB (16K-워드) 0x10000 ~ 0x13FFF 0x0802_ KB (16K-워드) 0x14000 ~ 0x17FFF 0x0802_ PROM 모드 동작 내부 플래시 메모리에 대해 통상의 읽기 동작은 어드레스를 인가한 후 데이터를 읽음으로써 수행되지만, 프로그램, 소거, 읽기 같은 동작은 플래시 메모리의 레지 스터를 쓰고 읽음으로써 수행됩니다. 표 2.4 은 플래시 메모리의 동작 모드들과 그 때 PROM 모드에서 레지스터를 읽고 쓰는 순서를 나타냅니다. 플래시 메모리 읽기와 소거를 제외한 모든 동작 모드는 첫 번째와 두 번째 사이클에서 어떤 모 드인지가 결정됩니다. 그리고 네 번째 사이클에서 프로그램이나 베리파이할 플래 시 메모리 어드레스를 설정합니다. 따라서 첫 번째 어드레스에 이어 다음 주소를 프로그램하거나 베리파이 하기 위해서는 네 번째 사이클만 반복하면 됩니다. 베리 파이 동작(프리프로그램/프로그램 베리파이와 소거 베리파이)에서는 베리파이한 결과를 FD [15:0] 핀으로 읽기 위해서 네 번째 사이클 뒤에 통상 읽기 동작을 수 행해야 됩니다. 17

18 PROM 모드 인터페이스 Flash MCU(HMS39C7092) 표 2.4 내장 플래시 PROM 모드 읽기 및 쓰기를 위한 설정 Operation FR_ SEL 1st Cycle 2nd Cycle 3rd Cycle 4th Cycle Dir rmal Read 000 R Program/ Pre-program 101 W Erase 101 W Pre-program Verify 101 W Addr FR_ Addr FR_ Addr FR_ Addr Dir 모드 모드 Data SEL Data SEL Data SEL Data RA Din X X - WA 011 W 011 W 001 W Dout X X X X 011 W 100 W 011 W SN 0A X X RA 011 W 001 R Din Erase Verify X X RA 101 W 011 W 001 R Read Din 범례 RA: 읽기 어드레스 WA: 쓰기 어드레스 Din: 읽기 데이터 Dout: 프로그램 데이터 X: don t care R: 읽기 W: 쓰기 SN: 소거 섹터 번호 (표 2.3 참조) 플래시 타이밍과 AC/DC 특성 (preliminary) 이 타이밍도는 표 2.4 에 설명된 동작 순서를 따릅니다. FRSTB TACC FA[17:1] RA TR_SEL FR_SEL[2:0] XXX 000 TCEB FCEB TOEB FOEB FWEB TOEBH FD[15:0] Dout 그림 2.1 읽기 타이밍도 18

19 Flash MCU(HMS39C7092) PROM 모드 인터페이스 Trs t Tpup Tpgm Tpdw FRS TB FA [1 6 :0 ] FCE B FW E B D on t C are Addr(XXXXXh W A) D o n t C a re A d dr(xx X X Xh ) Tc e s Tw e p FO E B FR_ S E L[2 :0 ] FD [1 5 :0 ] 0 0 1b 0 1 1b 0 1 1b 0 0 1b Td s Td h D in 0005h 0000h F FFF h 그림 2.2 프리프로그램 및 프로그램의 타이밍도 Trst Tpuo Tera Tpdw FRSTB FA[16:0] Don t Care FCEB FWEB Tces Twep FOEB FR_SEL[2:0] 001b 100b 011b Tds Tdh FD[15:0] 0002h SN 000Ah 1 st Cycle 2 nd 3 rd Cycle Cycle 그림 2.3 소거 타이밍도 19

20 PROM 모드 인터페이스 Flash MCU(HMS39C7092) FRSTB Trst Tvfy Tdout Tpdw FA[16:0] FCEB FWEB Tces Twep RA(Valid) FOEB FR_SEL[2:0] FD[15:0] 101b 011b 001b Tds Tdh 0001h 0010h X 000b Dout 1 st Cycle 2 nd Cycle 3 rd Cycle Read Cycle 그림 2.4 프리프로그램 및 프로그램 베리파이의 타이밍도 FRSTB Trst Tvfy Tdout Tpdw FA[16:0] FCEB FW EB Tces Tw eb RA(Valid) FOEB FR_SEL[2:0] FD[15:0] 101b 011b 001b TdsTdh 0000h 0020h X 000b Dout 1 st Cyc le 2 nd Cycle 3 rd Cycle Read Cycle 그림 2.5 소거 베리파이의 타이밍도 20

21 Flash MCU(HMS39C7092) PROM 모드 인터페이스 21

22 PROM 모드 인터페이스 Flash MCU(HMS39C7092) 22

23 Flash MCU(HMS39C7092) 전기적 특성 및 동작 파라미터 제3장 전기적 특성 및 동작 파라미터 23

24 전기적 특성 및 동작 파라미터 Flash MCU(HMS39C7092) 표 3.1 DC 특성 (V DD = 3.3V, Vss = 0V, FTVPPD = 5V, Ta = 25 C ) Vcc current 항 목 기호 Min Typ Max 단위 Input high voltage Vih 0.7x V DD - V DD +0.5 V Input low voltage Vil x V DD V 조 건 Output high voltage Voh V Ioh=0.8mA Output low voltage Vol V Iol=0.8mA Read Idd ma Program Idd ma Erase Idd ma FXTVPPD Current Program lppd ma 표 3.2 AC 특성 (V DD = 3.3V, Vss = 0V, FTVPPD = 5V, Ta = 25 C ) 항 목 기호 Min Typ Max 단위 CEB output delay time TCEB ns OEB output delay time TOEB ns Output disable delay time TOEBH ns R_SEL output delay time TR_SEL ns Access time TACC ns Reset Pulse Width Trst us Power up time Tpup us Discharge time(program,verify) Discharge time(erase) Tpdw Program time Tpgm us CEB Setup time Tces us WEB Pulse Width Twep ns WEB rise time Tr ns WEB fall time Tf ns Data Setup time Tds ns Data Hold time Tdh ns Erase time Tera us Verify Setup time Tvfy us Verify Data out time Tdout us us us 24

25 Flash MCU(HMS39C7092) 전기적 특성 및 동작 파라미터 표 3.3 프로그램 파라미터 (V DD = 3.3V, Vss = 0V, FTVPPD = 5V, Ta = 25 C ) 항 목 기호 Min Typ Max 단위 program iteration N_PGM count erase iteration N_ERASE count program time T_PGM us program retry time T_PGMR us erase time T_ERASE us erase retry time T_ERASER us verify time T_VFY us 25

26 전기적 특성 및 동작 파라미터 Flash MCU(HMS39C7092) 26

아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상

아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상 Android 용 Brother Image Viewer 설명서 버전 0 KOR 아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상표입니다. Android는

More information

Microsoft Word - 青野論文_李_.doc

Microsoft Word - 青野論文_李_.doc 식민지 조선에 있어서 농촌진흥운동기의 경신숭조( 敬 神 崇 祖 ) -조선총독부의 신사정책과 관련하여- 아오노 마사아키( 青 野 正 明 ) 모모야마가쿠인대학( 桃 山 学 院 大 学 ) 번역:이화진 들어가는 말 본고에서는 주로 1930 년대 전반에 조선총독부에 의해 실시된 농촌진흥운동 1 에 있어서, 신사정책( 神 社 政 策 )과 관계가 있다고 예상되는 농본주의(

More information

°ø±â¾Ð±â±â

°ø±â¾Ð±â±â 20, 30, 40 20, 30, 40 1 2 3 4 5 6 7 8 9 10 3.1 6.3 9.4 12.6 15.7 18.8 22.0 25.1 28.3 31.4 2.4 4.7 7.1 9.4 11.8 14.1 16.5 18.8 21.2 23.6 7.1 14.1 21.2 28.3 35.3 42.4 49.5 56.5 63.6 70.7 5.9 11.9 17.8 23.7

More information

@ p a g e c o n te n tt y p e = " te x t/ h tm l;c h a rs e t= u tf- 8 " fo r (in t i= 0 ; i< = 1 0 ; i+ + ) { o u t.p rin tln (" H e llo W o rld " + i + " < b r/> " ); = re s u lt + re s u lts u m ()

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

acdc EQ 충전기.hwp

acdc EQ 충전기.hwp www.sjproporc.com DIGITAL CHARGER & DISCHARGER Intelligent Balancer SJPROPO 서울특별시 강남구 일원동 642-11 대도빌딩 202호 2006 SJPROPO INC. SJ INCORPORATED 사용 설명서 제품 구성물 동작 중 표시 화면 B L C : B A L A N C E R C O N N E C

More information

<B5B6BCADC7C1B7CEB1D7B7A52DC0DBBEF7C1DF313232332E687770>

<B5B6BCADC7C1B7CEB1D7B7A52DC0DBBEF7C1DF313232332E687770> 2013 소외계층 독서 인문학 프로그램 결과보고서 - 2 - 2013 소외계층 독서 인문학 프로그램 결과보고서 c o n t e n t s 5 22 44 58 84 108 126 146 168 186 206 220 231 268 296 316 꽃바위 작은 도서관 꿈이 자라는 책 마을 기적의 도서관 남부 도서관 농소 1동 도서관 농소 3동 도서관 동부 도서관

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

BC6DX-II Korean.ai

BC6DX-II Korean.ai 제품설명서 * 제품의성능개선을위하여예고없이사양이변경될수있습니다. * 무단복제금지 제품의특징 - 운영프로그램 - 이중입력전원회로 ( 주의!, 두개의입력전원을동시에사용하지마십시요.) - 방전중개별셀전압평균화 - 최대한의안전장치들 - 사이클충전 / 방전 (Cyclic charging/discharging) - USB 를이용한 PC 통신 - 2 - 기기외부장치들 -,

More information

우리나라의 전통문화에는 무엇이 있는지 알아봅시다. 우리나라의 전통문화를 체험합시다. 우리나라의 전통문화를 소중히 여기는 마음을 가집시다. 5. 우리 옷 한복의 특징 자료 3 참고 남자와 여자가 입는 한복의 종류 가 달랐다는 것을 알려 준다. 85쪽 문제 8, 9 자료

우리나라의 전통문화에는 무엇이 있는지 알아봅시다. 우리나라의 전통문화를 체험합시다. 우리나라의 전통문화를 소중히 여기는 마음을 가집시다. 5. 우리 옷 한복의 특징 자료 3 참고 남자와 여자가 입는 한복의 종류 가 달랐다는 것을 알려 준다. 85쪽 문제 8, 9 자료 통합 우리나라 ⑵ 조상님들이 살던 집에 대 해 아는 어린이 있나요? 저요. 온돌로 난방과 취사를 같이 했어요! 네, 맞아요. 그리고 조상님들은 기와집과 초가집에서 살았어요. 주무르거나 말아서 만들 수 있는 전통 그릇도 우리의 전통문화예요. 그리고 우리 옷인 한복은 참 아름 답죠? 여자는 저고리와 치마, 남자는 바지와 조끼를 입어요. 명절에 한복을 입고 절을

More information

상품 전단지

상품 전단지 2013 2013 추석맞이 추석맞이 지역우수상품 안내 안내 지역우수상품 지역 우수상품을 안내하여 드리오니 명절 및 행사용 선물로 많이 활용하여 주시기 바랍니다. 지역우수상품을 구입하시면 지역경제가 살아납니다. 즐거운 한가위 보내시고, 복 많이 받으세요! - 경기동부상공회의소 임직원 일동 - 지역우수상품을 구입하시면 지역경제가 살아납니다.

More information

::: 해당사항이 없을 경우 무 표시하시기 바랍니다. 검토항목 검 토 여 부 ( 표시) 시 민 : 유 ( ) 무 시 민 참 여 고 려 사 항 이 해 당 사 자 : 유 ( ) 무 전 문 가 : 유 ( ) 무 옴 브 즈 만 : 유 ( ) 무 법 령 규 정 : 교통 환경 재

::: 해당사항이 없을 경우 무 표시하시기 바랍니다. 검토항목 검 토 여 부 ( 표시) 시 민 : 유 ( ) 무 시 민 참 여 고 려 사 항 이 해 당 사 자 : 유 ( ) 무 전 문 가 : 유 ( ) 무 옴 브 즈 만 : 유 ( ) 무 법 령 규 정 : 교통 환경 재 시 민 문서번호 어르신복지과-1198 주무관 재가복지팀장 어르신복지과장 복지정책관 복지건강실장 결재일자 2013.1.18. 공개여부 방침번호 대시민공개 협 조 2013년 재가노인지원센터 운영 지원 계획 2013. 01. 복지건강실 (어르신복지과) ::: 해당사항이 없을 경우 무 표시하시기 바랍니다. 검토항목 검 토 여 부 ( 표시) 시 민 : 유 ( ) 무

More information

2

2 1 2 3 4 5 6 또한 같은 탈북자가 소유하고 있던 이라고 할수 있는 또 한장의 사진도 테루꼬양이라고 보고있다. 二宮喜一 (니노미야 요시가즈). 1938 년 1 월 15 일생. 신장 156~7 센치. 체중 52 키로. 몸은 여윈형이고 얼굴은 긴형. 1962 년 9 월경 도꾜도 시나가와구에서 실종. 당시 24 세. 직업 회사원. 밤에는 전문학교에

More information

화이련(華以戀) 141001.hwp

화이련(華以戀) 141001.hwp 年 花 下 理 芳 盟 段 流 無 限 情 惜 別 沈 頭 兒 膝 夜 深 雲 約 三 십년을 꽃 아래서 아름다운 맹세 지키니 한 가닥 풍류는 끝없는 정이어라. 그대의 무릎에 누워 애틋하게 이별하니 밤은 깊어 구름과 빗속에서 삼생을 기약하네. * 들어가는 글 파르라니 머리를 깎은 아이가 시린 손을 호호 불며 불 옆에 앉아 있다. 얼음장 같은 날씨에 허연 입김이 연기처럼

More information

ÆòÈ�´©¸® 94È£ ³»Áö_ÃÖÁ¾

ÆòÈ�´©¸® 94È£ ³»Áö_ÃÖÁ¾ 사람 안간힘을 다해 행복해지고 싶었던 사람, 허세욱을 그리다 - 허세욱 평전 작가 송기역 - 서울 평통사 노동분회원 허세욱. 효순이 미선이의 억울한 죽음에 대 해 미국은 사죄하라는 투쟁의 현장에 서 그 분을 처음 만났다. 평택 대추리 의 넓은 들판을 두 소녀의 목숨을 앗 아간 미군들에게 또 빼앗길 순 없다며 만들어 온 현수막을 대추초교에 같이 걸었다. 2007년

More information

歯1##01.PDF

歯1##01.PDF 1.? 1.?,..,.,. 19 1.,,..,. 20 1.?.,.,,...,.,..,. 21 1,.,.,. ( ),. 10 1? 2.5%. 1 40. 22 1.? 40 1 (40 2.5% 1 ). 10 40 4., 4..,... 1997 ( ) 12. 4.6% (26.6%), (19.8%), (11.8%) 23 1. (?).. < >..,..!!! 24 2.

More information

<5BC1F8C7E0C1DF2D31B1C75D2DBCF6C1A4BABB2E687770>

<5BC1F8C7E0C1DF2D31B1C75D2DBCF6C1A4BABB2E687770> 제3편 정 치 제3편 정치 제1장 의회 제1절 의회 기구 제2절 의회기구 및 직원 현황 자치행정전문위원회 자치행정전문위원 산업건설위원회 산업건설전문위원 제1장 의회 321 제3절 의회 현황 1. 제1대 고창군의회 제1대 고창군의회 의원 현황 직 위 성 명 생년월일 주 소 비 고 322 제3편 정치 2. 제2대 고창군의회 제2대 고창군의회 의원 현황 직 위

More information

120229(00)(1~3).indd

120229(00)(1~3).indd 법 률 국회에서 의결된 공직선거법 일부개정법률을 이에 공포한다. 대 통 령 이 명 박 2012년 2월 29일 국 무 총 리 김 황 식 국 무 위 원 행정안전부 맹 형 규 장 관 (중앙선거관리위원회 소관) 법률 제11374호 공직선거법 일부개정법률 공직선거법 일부를 다음과 같이 개정한다. 제21조제1항에 단서를 다음과 같이 신설한다. 다만,세종특별자치시의 지역구국회의원

More information

01Report_210-4.hwp

01Report_210-4.hwp 연구보고서 210-4 해방 후 한국여성의 정치참여 현황과 향후 과제 한국여성개발원 목 차 Ⅰ 서 론 Ⅱ 국회 및 지방의회에서의 여성참여 Ⅲ 정당조직내 여성참여 및 정당의 여성정책 Ⅳ 여성유권자의 투표율 및 투표행태 Ⅴ 여성단체의 여성정치참여 확대를 위한 운동 Ⅵ 여성의 정치참여 확대를 위한 향후 과제 참고문헌 부 록 표 목 차 Ⅰ 서 론 . 서론 1.

More information

<C3D1BCB15FC0CCC8C45FBFECB8AE5FB1B3C0B0C0C75FB9E6C7E228323031362D352D32315FC5E4292E687770>

<C3D1BCB15FC0CCC8C45FBFECB8AE5FB1B3C0B0C0C75FB9E6C7E228323031362D352D32315FC5E4292E687770> 총선 이후 우리 교육의 방향 당 체제에서 우리 교육의 전망과 교육행정가들의 역할 박 호 근 서울시의회 의원 교육위원회 위원 서론 년 월 일 제 대 국회의원 선거가 치러졌다 선거는 바로 민의 의 반영이기 때문에 총선결과를 살펴보고 왜 이러한 결과가 나왔는가를 분석해 본 후 년 월 일을 기점으로 제 대 국회의원들의 임기가 시 작되는 상황에서 우리 교육이 어떻게

More information

목 차 營 下 面 5 前 所 面 71 後 所 面 153 三 木 面 263 龍 流 面 285 都 已 上 條 367 同 治 六 年 (1867) 正 月 日 永 宗 防 營 今 丁 卯 式 帳 籍 범례 1. 훼손 등의 이유로 판독이 불가능한 글자는 로 표기함. 단, 비정 이 가능한 경우는 ( ) 안에 표기함. 2. 원본에서 누락된 글자는 [ ] 안에 표기함. 단, 누락된

More information

639..-1

639..-1 제639호 [주간] 2014년 12월 15일(월요일) http://gurotoday.com http://cafe.daum.net/gorotoday 문의 02-830-0905 대입 준비에 지친 수험생 여러분 힘내세요 신도림테크노마트서 수험생과 학부모 600명 대상 대입설명회 구로아트밸리서는 수험생 1,000명 초대 해피 콘서트 열려 구로구가 대입 준비로 지친

More information

교육 과 학기 술부 고 시 제 20 11-36 1호 초 중등교육법 제23조 제2항에 의거하여 초 중등학교 교육과정을 다음과 같이 고시합니다. 2011년 8월 9일 교육과학기술부장관 1. 초 중등학교 교육과정 총론은 별책 1 과 같습니다. 2. 초등학교 교육과정은 별책

교육 과 학기 술부 고 시 제 20 11-36 1호 초 중등교육법 제23조 제2항에 의거하여 초 중등학교 교육과정을 다음과 같이 고시합니다. 2011년 8월 9일 교육과학기술부장관 1. 초 중등학교 교육과정 총론은 별책 1 과 같습니다. 2. 초등학교 교육과정은 별책 교육과학기술부 고시 제 2011 361호 [별책 3] 중학교 교육과정 교육 과 학기 술부 고 시 제 20 11-36 1호 초 중등교육법 제23조 제2항에 의거하여 초 중등학교 교육과정을 다음과 같이 고시합니다. 2011년 8월 9일 교육과학기술부장관 1. 초 중등학교 교육과정 총론은 별책 1 과 같습니다. 2. 초등학교 교육과정은 별책 2 와 같습니다. 3.

More information

시험지 출제 양식

시험지 출제 양식 2013학년도 제2학기 제1차 세계사 지필평가 계 부장 교감 교장 2013년 8월 30일 2, 3교시 제 3학년 인문 (2, 3, 4, 5)반 출제교사 : 백종원 이 시험 문제의 저작권은 풍암고등학교에 있습니다. 저 작권법에 의해 보호받는 저작물이므로 전재와 복제는 금지 되며, 이를 어길 시 저작권법에 의거 처벌될 수 있습니다. 3. 전근대 시기 (가)~(라)

More information

¸é¸ñ¼Ò½ÄÁö 63È£_³»Áö ÃÖÁ¾

¸é¸ñ¼Ò½ÄÁö 63È£_³»Áö ÃÖÁ¾ 정보나눔 섭이와 함께하는 여행 임강섭 복지과 과장 여름이다. 휴가철이다. 다 들 어디론가 떠날 준비에 마음 이 들떠 있는 시기가 아닌가 싶다. 여행 매니아까지는 아니 지만, 나름 여행을 즐기는 사 람으로서 가족들과 신나는 휴 가를 보낼 계획에 살짝 들떠 있는 나에게 혼자만 신나지 말 고 같이 좀 신났으면 좋겠다며 가족들과 같이 가면 좋은 여행 눈이 시리도록

More information

177

177 176 177 178 179 180 181 182 183 184 185 186 187 188 (2) 양주조씨 사마방목에는 서천의 양주조씨가 1789년부터 1891년까지 5명이 합격하였다. 한산에서도 1777년부터 1864년까지 5명이 등재되었고, 비인에서도 1735년부터 1801년까지 4명이 올라있다. 서천지역 일대에 넓게 세거지를 마련하고 있었 던 것으로

More information

제주어 교육자료(중등)-작업.hwp

제주어 교육자료(중등)-작업.hwp 여는말 풀꽃, 제주어 제주어는 제주인의 향기입니다. 제주인의 삶의 손끝에서 피어나는 삶의 향기이고, 꿈의 내음입니다. 그분들이 어루만졌던 삶이 거칠었던 까닭에 더욱 향기롭고, 그 꿈이 애틋했기에 더욱 은은합니다. 제주어는 제주가 피워낸 풀잎입니다. 제주의 거친 땅에 뿌리를 내리고 싹을 틔우고, 비바람 맞고 자랐기에 더욱 질박합니다. 사철 싱그러운 들풀과 들꽃향기가

More information

<C3D6C1BE5FBBF5B1B9BEEEBBFDC8B0B0DCBFEFC8A32831333031323120C3D6C1BEBABB292E687770>

<C3D6C1BE5FBBF5B1B9BEEEBBFDC8B0B0DCBFEFC8A32831333031323120C3D6C1BEBABB292E687770> 우리 시의 향기 사랑하는 일과 닭고기를 씹는 일 최승자, 유 준 서울예술대학교 문예창작과 강사/문학평론가 한 숟갈의 밥, 한 방울의 눈물로 무엇을 채울 것인가, 밥을 눈물에 말아먹는다 한들. 그대가 아무리 나를 사랑한다 해도 혹은 내가 아무리 그대를 사랑한다 해도 나는 오늘의 닭고기를 씹어야 하고 나는 오늘의 눈물을 삼켜야 한다.

More information

초등국어에서 관용표현 지도 방안 연구

초등국어에서 관용표현 지도 방안 연구 80 < 관용 표현 인지도> 남 여 70 60 50 40 30 20 10 0 1 2 3 4 5 6 70 < 관용 표현 사용 정도> 남 여 60 50 40 30 20 10 0 4학년 가끔쓴다 써본적있다 전혀안쓴다 5학년 가끔쓴다 써본적있다 전혀안쓴다 6학년 가끔쓴다 써본적있다 전혀안쓴다 70 < 속담 인지도> 남 여 60 50 40 30 20 10 0 1 2

More information

6±Ç¸ñÂ÷

6±Ç¸ñÂ÷ 6 6 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 과천심상소학교 졸업증서(문헌번호 03-004) 일제강점기 과천초등학교의 유일한 한국인 교장이었던 맹준섭임을 알 수 있다.

More information

과 위 가 오는 경우에는 앞말 받침을 대표음으로 바꾼 [다가페]와 [흐귀 에]가 올바른 발음이 [안자서], [할튼], [업쓰므로], [절믐] 풀이 자음으로 끝나는 말인 앉- 과 핥-, 없-, 젊- 에 각각 모음으로 시작하는 형식형태소인 -아서, -은, -으므로, -음

과 위 가 오는 경우에는 앞말 받침을 대표음으로 바꾼 [다가페]와 [흐귀 에]가 올바른 발음이 [안자서], [할튼], [업쓰므로], [절믐] 풀이 자음으로 끝나는 말인 앉- 과 핥-, 없-, 젊- 에 각각 모음으로 시작하는 형식형태소인 -아서, -은, -으므로, -음 . 음운 [ㄱ] [국], [박], [부억], [안팍] 받침의 발음 [ㄷ] [곧], [믿], [낟], [빋], [옫], [갇따], [히읃] [ㅂ] [숩], [입], [무릅] [ㄴ],[ㄹ],[ㅁ],[ㅇ] [간], [말], [섬], [공] 찾아보기. 음절 끝소리 규칙 (p. 6) [ㄱ] [넉], [목], [삭] [ㄴ] [안따], [안꼬] [ㄹ] [외골], [할꼬]

More information

민주장정-노동운동(분권).indd

민주장정-노동운동(분권).indd 민주장정 100년, 광주 전남지역 사회운동 연구 노동운동사 정 호 기 농민운동 1 목 차 제1장 연구 배경과 방법 07 1. 문제제기 2. 기존 연구의 검토 3. 연구 대상의 특성과 변화 4. 연구 자료와 연구 방법 07 10 12 16 제2장 이승만 정부 시대의 노동조합운동 19 1. 이승만 정부의 노동정책과 대한노총 1) 노동 관련 법률들의 제정과 광주

More information

<C0CEBCE2BABB2D33C2F7BCF6C1A420B1B9BFAAC3D1BCAD203130B1C72E687770>

<C0CEBCE2BABB2D33C2F7BCF6C1A420B1B9BFAAC3D1BCAD203130B1C72E687770> 해제 면양행견일기 沔 陽 行 遣 日 記 이 자료는 한말의 개화파 관료, 김윤식 金 允 植 (1835~1922)이 충청도 면천 沔 川 에 유배하면서 동학농민혁명 시기에 전문 傳 聞 한 것을 일일이 기록한 일기책 이다. 수록한 부분은 속음청사 續 陰 晴 史 의 권 7로 내제 內 題 가 면양행견일기 沔 陽 行 遣 日 記 로 되어 있는 부분 가운데 계사년 癸 巳 年

More information

조선왕조 능 원 묘 기본 사료집 -부록 : 능 원 묘의 현대적 명칭표기 기준안 차 례 서 장 : 조선왕실의 능 원 묘 제도 11 제 1부 능 원 묘 기본 사료 Ⅰ. 능호( 陵 號 ) 및 묘호( 廟 號 )를 결정한 유래 1. 건원릉( 健 元 陵 ) 21 2. 정릉( 貞 陵 ) 22 3. 헌릉( 獻 陵 )

More information

E1-정답및풀이(1~24)ok

E1-정답및풀이(1~24)ok 초등 2 학년 1주 2 2주 7 3주 12 4주 17 부록` 국어 능력 인증 시험 22 1주 1. 느낌을 말해요 1 ⑴ ᄂ ⑵ ᄀ 1 8~13쪽 듣기 말하기/쓰기 1 ` 2 ` 3 참고 ` 4 5 5 5 ` 6 4 ` 7 참고 ` 8 일기 ` 9 5 10 1 11, 3 [1~3] 들려줄 내용 옛날 옛날, 깊은 산골짜기에 큰 호랑이 한 마리가 살고 있었습 이

More information

<32303132BDC3BAB8C1A4B1D4C6C75BC8A3BFDC303530395D2E687770>

<32303132BDC3BAB8C1A4B1D4C6C75BC8A3BFDC303530395D2E687770> 조 례 익산시 조례 제1220호 익산시 주민감사 청구에 관한 조례 일부개정조례 1 익산시 조례 제1221호 익산시 제안제도 운영조례 일부개정조례 3 익산시 조례 제1222호 익산시 시채에 관한 조례 폐지조례 12 익산시 조례 제1223호 익산시 시세 감면 조례 전부개정조례 13 익산시 조례 제1224호 익산시 행정기구설치조례 19 익산시 조례 제1225호 익산시

More information

<C1B6BCB1B4EBBCBCBDC3B1E2342DC3D6C1BE2E687770>

<C1B6BCB1B4EBBCBCBDC3B1E2342DC3D6C1BE2E687770> 권2 동경잡기 東京雜記 동경잡기 173 권2 불우 佛宇 영묘사(靈妙寺) 부(府)의 서쪽 5리(里)에 있다. 당 나라 정관(貞觀) 6년(632) 에 신라의 선덕왕(善德王)이 창건하였다. 불전(佛殿)은 3층인데 체제가 특이하다. 속설에 절터는 본래 큰 연못이었는데, 두두리(豆豆里) 사람들이 하룻밤 만에 메 우고 드디어 이 불전을 세웠다. 고 전한다. 지금은

More information

교사용지도서_쓰기.hwp

교사용지도서_쓰기.hwp 1. 재미있는 글자 단원의 구성 의도 이 단원은 도비와 깨비가 길을 잃고 헤매다 글자 공부의 필요성을 느끼고 글자 공부를 하게 되는 것으로 시작된다. 자칫 지겨울 수 있는 쓰기 공부를 다양한 놀이 위주의 활동으로 구성하였고, 학습자 주변의 다양한 자료들을 활용함으로써 학습에 대한 흥미를 갖고 활동할 수 있게 하였다. 각 단계의 학습을 마칠 때마다 도깨비 연필을

More information

38--18--최우석.hwp

38--18--최우석.hwp 古 詩 源 < 顔 延 之 > 篇 譯 註 * 崔 宇 錫 1) 1. 序 文 2. 古 詩 源 < 顔 延 之 > 篇 譯 註 3. 結 語 1. 序 文 沈 德 潛 (1673-1769)의 字 는 確 士 이고 號 는 歸 愚 이다. 江 南 長 洲 (현재의 江 蘇 省 蘇 州 ) 사람으로 淸 代 聖 祖, 世 宗, 高 宗 삼대를 모두 거쳤다. 특히 시를 몹 시 좋아한

More information

時 習 說 ) 5), 원호설( 元 昊 說 ) 6) 등이 있다. 7) 이 가운데 임제설에 동의하는바, 상세한 논의는 황패강의 논의로 미루나 그의 논의에 논거로서 빠져 있는 부분을 보강하여 임제설에 대한 변증( 辨 證 )을 덧붙이고자 한다. 우선, 다음의 인용문을 보도록

時 習 說 ) 5), 원호설( 元 昊 說 ) 6) 등이 있다. 7) 이 가운데 임제설에 동의하는바, 상세한 논의는 황패강의 논의로 미루나 그의 논의에 논거로서 빠져 있는 부분을 보강하여 임제설에 대한 변증( 辨 證 )을 덧붙이고자 한다. 우선, 다음의 인용문을 보도록 과 임제 신해진(전남대) 1. 머리말 세조의 왕위찬탈과 단종복위 과정에서의 사육신을 소재로 한 작품은 남효온( 南 孝 溫 )의 (1492년 직전?), 임제( 林 悌 )의 (1576?), 김수민( 金 壽 民 )의 (1757) 등이 있다. 1) 첫 작품은 집전( 集

More information

cls46-06(심우영).hwp

cls46-06(심우영).hwp 蘇 州 원림의 景 名 연구 * 用 典 한 경명을 중심으로 1)심우영 ** 목 차 Ⅰ. 서론 Ⅱ. 기존의 경명 命 名 法 Ⅲ. 귀납적 결과에 따른 경명 분류 1. 신화전설 역사고사 2. 文 辭, 詩 句 Ⅳ. 결론 Ⅰ. 서론 景 名 이란 景 觀 題 名 (경관에 붙인 이름) 의 준말로, 볼만한 경치 지구와 경치 지 점 그리고 경치 지구 내 세워진 인공물에 붙여진

More information

0429bodo.hwp

0429bodo.hwp 친일인명사전 수록대상자 명단 친일인명사전편찬위원회 ㄱ ㄴ ㄷ ㄹ ㅁ ㅂ ㅅ ㅇ ㅈ ㅊ ㅋ ㅌ ㅍ ㅎ 이 명단은 친일인명사전 수록대상자의 후손 또는 연고자로부터 이의신청을 받기 위해 작성 되었습니다. 이 인물정보를 무단 복사하여 유포하거나 인터넷을 통해 전 파하는일체의행위는법에저촉될수있습니다. 주요 훈포상 약어 1. 병합기념장 2. 대정대례기념장 3. 소화대례기념장

More information

伐)이라고 하였는데, 라자(羅字)는 나자(那字)로 쓰기도 하고 야자(耶字)로 쓰기도 한다. 또 서벌(徐伐)이라고도 한다. 세속에서 경자(京字)를 새겨 서벌(徐伐)이라고 한다. 이 때문에 또 사라(斯羅)라고 하기도 하고, 또 사로(斯盧)라고 하기도 한다. 재위 기간은 6

伐)이라고 하였는데, 라자(羅字)는 나자(那字)로 쓰기도 하고 야자(耶字)로 쓰기도 한다. 또 서벌(徐伐)이라고도 한다. 세속에서 경자(京字)를 새겨 서벌(徐伐)이라고 한다. 이 때문에 또 사라(斯羅)라고 하기도 하고, 또 사로(斯盧)라고 하기도 한다. 재위 기간은 6 동경잡기東京雜記 권1 진한기辰韓紀 경상도는 본래 진한(辰韓)의 땅인데, 뒤에 신라(新羅)의 소유가 되었다. 여지승 람(輿地勝覽) 에 나온다. 진한은 마한(馬韓)의 동쪽에 있다. 스스로 말하기를, 망 명한 진(秦)나라 사람이 난리를 피하여 한(韓)으로 들어오니 한이 동쪽 경계를 분할 하여 주었으므로 성책(城栅)을 세웠다. 하였다. 그 언어가 진나라 사람과 비슷하다.

More information

DIB-100_K(90x120)

DIB-100_K(90x120) Operation Manual 사용설명서 Direct Box * 본 제품을 사용하기 전에 반드시 방송방식 및 전원접압을 확인하여 사용하시기 바랍니다. MADE IN KOREA 2009. 7 124447 사용하시기 전에 사용하시기 전에 본 기기의 성능을 충분히 발휘시키기 위해 본 설명서를 처음부터 끝까지 잘 읽으시고 올바른 사용법으로 오래도록 Inter-M 제품을

More information

4 꼬부랑 이라는 말이 재미있습니다. 5같은 말이 반복이 되어서 지루합니다. 4 꼬부랑 은 굽은 모양을 재미있게 흉내 낸 말입니다. 꼬부랑 을 빼고 읽는 것보다 넣어서 읽 으면 할머니와 엿가락, 강아지의 느낌이 좀 더 실감 나서 재미가 있습니다. 국어2(예습) / 1.

4 꼬부랑 이라는 말이 재미있습니다. 5같은 말이 반복이 되어서 지루합니다. 4 꼬부랑 은 굽은 모양을 재미있게 흉내 낸 말입니다. 꼬부랑 을 빼고 읽는 것보다 넣어서 읽 으면 할머니와 엿가락, 강아지의 느낌이 좀 더 실감 나서 재미가 있습니다. 국어2(예습) / 1. 2016년 1월 2학년 시간표 < > 1주차_[국어] 국어1(예습) / 1. 아, 재미있구나! / 01월 04일 3. 다음은 꼬부랑 을 넣은 것과 뺀 것입니다. 그 느낌을 설명한 것으로 알맞지 않은 것은 무엇입 니까? 1에서 꼬부랑 할머니 는 허리가 굽은 할머니의 모습이 떠오릅니다. 2에서 꼬부랑 고갯길 은 그냥 고갯길 보다 더 많이 굽은 고갯길 같습니다.

More information

(p47~53)SR

(p47~53)SR 2014.8 Semiconductor Network 47 48 Semiconductor Network 2014.8 2014.8 Semiconductor Network 49 50 Semiconductor Network 2014.8 2014.8 Semiconductor Network 51 52 Semiconductor Network 2014.8 SN 2014.8

More information

BC6DX Korean.ai

BC6DX Korean.ai 제품설명서 BC6DX * 제품의성능개선을위하여예고없이사양이변경될수있습니다. * 무단복제금지 제품의특징 - 운영프로그램 - 이중입력전원회로 - 방전중개별셀전압평균화 - 최대한의안전장치들 - 사이클충전 / 방전 (Cyclic charging/discharging) - USB 를이용한 PC 통신 - 2 - 외부장치들 -, 버튼 - DEC, INC 버튼 - START/

More information

-. Data Field 의, 개수, data 등으로구성되며, 각 에따라구성이달라집니다. -. Data 모든 의 data는 2byte로구성됩니다. Data Type는 Integer, Float형에따라다르게처리됩니다. ( 부호가없는 data 0~65535 까지부호가있는

-. Data Field 의, 개수, data 등으로구성되며, 각 에따라구성이달라집니다. -. Data 모든 의 data는 2byte로구성됩니다. Data Type는 Integer, Float형에따라다르게처리됩니다. ( 부호가없는 data 0~65535 까지부호가있는 Dong Yang E&P 인버터 Modbus Monitoring Protocol 2018. 08. 27 Sun Spec (Modbus-RTU) -. Modbus Protocol 각 Field에대한설명 Frame갂의구별을위한최소한의시갂 BaudRate 9600에서 1bit 젂송시갂은 Start 0.104msec, (3.5 character Times, 1 Character

More information

민변_보도자료_특조위_예산_미편성_헌법소원_및_공.hwp

민변_보도자료_특조위_예산_미편성_헌법소원_및_공.hwp 1 - 서울 서초구 법원로4길 23 양지빌딩 2층 전화 02) 522-7284, 팩스 02)522-7285 웹페이지 http://minbyun.org 전자우편 admin@minbyun.or.kr 문서번호 : 수 신 : 언론사 제위 발 신 : 민주사회를 위한 변호사모임 (담당 : 조영관 변호사 010-8848 - 7828) 제 목 [보도자료][세월호TF] 기획재정부장관의

More information

BC6HP Korean.ai

BC6HP Korean.ai 제품설명서 BC6HP Microprocessor controlled highperformance rapid charger/discharger with integrated balancer, 250watts of charging power USB PC link and Firmware upgrade, Temperature sensor Charge current up

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

歯메뉴얼v2.04.doc

歯메뉴얼v2.04.doc 1 SV - ih.. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 - - - 23 24 R S T G U V W P1 P2 N R S T G U V W P1 P2 N R S T G U V W P1 P2 N 25 26 DC REACTOR(OPTION) DB UNIT(OPTION) 3 φ 220/440 V 50/60

More information

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp 1 0 1.7 6 5 'A ' '/ u 4 4 2 2 ' " JS P 프로그래밍 " A ', 'b ', ' 한 ', 9, \ u d 6 5 4 ' c h a r a = 'A '; 0 1 0 2 0 3 0 4 0 5 0 6 0 7 0 8 0 9 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 1 8 1 9 < % @ p a g e c o n te n

More information

I 문학과 우리 사회 눈 은 다가오는 계절인 봄의 생명력과 연결되어 작품 전체의 분위 기를 주도하고 있다. 1. 문학과 인접 분야 바탕 학습 확인 문제 01 4 02 5 본문 009쪽 01 4 문학은 음악, 미술 등과 같이 예술의 한 갈래로, 다른 예술 갈래와 달리 언

I 문학과 우리 사회 눈 은 다가오는 계절인 봄의 생명력과 연결되어 작품 전체의 분위 기를 주도하고 있다. 1. 문학과 인접 분야 바탕 학습 확인 문제 01 4 02 5 본문 009쪽 01 4 문학은 음악, 미술 등과 같이 예술의 한 갈래로, 다른 예술 갈래와 달리 언 고 등 학 교 자 습 서 정답과 해설 I. 문학과 우리 사회 01 I 문학과 우리 사회 눈 은 다가오는 계절인 봄의 생명력과 연결되어 작품 전체의 분위 기를 주도하고 있다. 1. 문학과 인접 분야 바탕 학습 확인 문제 01 4 02 5 본문 009쪽 01 4 문학은 음악, 미술 등과 같이 예술의 한 갈래로, 다른 예술 갈래와 달리 언어로써 작가의 생각과 사상을

More information

(유로권) 12월 실물지표 혼조, 4분기 성장률 0.3%로 전분기와 동일 - 지난 12월 역내 생산은 감소폭이 확대된 데 반해, 소비가 소폭 늘어나고, 무역흑자 규모가 수출 둔화로 전달보다 축소. 4분기 역내 성장률은 0.3%로서 전분기와 동일한 수 준을 기록하고, 2

(유로권) 12월 실물지표 혼조, 4분기 성장률 0.3%로 전분기와 동일 - 지난 12월 역내 생산은 감소폭이 확대된 데 반해, 소비가 소폭 늘어나고, 무역흑자 규모가 수출 둔화로 전달보다 축소. 4분기 역내 성장률은 0.3%로서 전분기와 동일한 수 준을 기록하고, 2 1 세계 경제 및 우리나라 경제 동향 최근 국내외 경제동향(3.14) < 해외 경제동향 > (미국) 1월 실물지표 증가, 4분기 성장률 0.7% 1%로 상향 - 지난 1월 생산과 소비가 동반 증가하고, 체감지표들이 오름세를 보이며, 실업률이 4%대까지 떨어지는 등 연초 경제지표들이 아직까지는 비교적 양호. 2015년 4분기 성장률은 재고 감소폭 축소로 0.7%에서

More information

<C1A634C2F720BAB8B0EDBCAD20C1BEC6ED20BDC3BBE720C5E4C5A920C7C1B7CEB1D7B7A5C0C720BEF0BEEE20BBE7BFEB20BDC7C5C220C1A1B0CB20C1A6C3E22E687770>

<C1A634C2F720BAB8B0EDBCAD20C1BEC6ED20BDC3BBE720C5E4C5A920C7C1B7CEB1D7B7A5C0C720BEF0BEEE20BBE7BFEB20BDC7C5C220C1A1B0CB20C1A6C3E22E687770> 종편 시사 토크 프로그램의 언어 사용 실태 점검 1) 2016년 2월 5일, 두 프로그램의 시청률은 TV조선 2.0%, JTBC 3.1%이다. (닐슨코리아 제공) 제18차 - 논의내용 - 1 방송사 등급 프로그램명 방송 일시 출연자 TV조선 15세 이상 시청가 강적들 2016. 1. 13(수) 23:00 ~ 00:20 2016. 1. 20(수) 23:00

More information

2 0 0 6 년 충 남 지 역 어 조 사 보 고 서 국 립 국 어 원

2 0 0 6 년 충 남 지 역 어 조 사 보 고 서 국 립 국 어 원 국립국어원 2006-1-07 충남(2) 2006년 충남 지역어 조사 보고서 연구 책임자 :한영목 연구 보조원 :유세진 조 사 지 역 :충청남도 논산시 국 립 국 어 원 2 0 0 6 년 충 남 지 역 어 조 사 보 고 서 국 립 국 어 원 제 출 문 국립국어원장 귀하 2006년도 충남 지역어 조사 및 전사 에 관하여 귀원과 체결한 연구 용역 계약에 의하여 최종

More information

<C6F7BDBAB0EDC0FCB9AEC7D0BAB8C3E62832307E33372037357E313030B0AD292E687770>

<C6F7BDBAB0EDC0FCB9AEC7D0BAB8C3E62832307E33372037357E313030B0AD292E687770> 포스 고전문학 20강 - 고려가요와 경기체가 1 승희샘의 작전 명령 1. 고려가요의 개념과 장르적 특징을 정리하라. 2. 가시리 의 율격적 특성과 전통적 정서를 파악하라. 3. 정과정 의 정서 변화와 충신연주지사를 이해하라. 고려가요의 개념과 장르적 특징 (교재 60P 참조) 1. 고래시대 백성들의 노래 : 속요, 여요 2. 백성들의 노래 구전(훈민정음 창제

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

Microsoft Word - USB복사기.doc

Microsoft Word - USB복사기.doc Version: SD/USB 80130 Content Index 1. Introduction 1.1 제품개요------------------------------------------------------------P.02 1.2 모델별 제품사양-------------------------------------------------------P.04 2. Function

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

<3230313320B5BFBEC6BDC3BEC6BBE74542532E687770>

<3230313320B5BFBEC6BDC3BEC6BBE74542532E687770> 58 59 북로남왜 16세기 중반 동아시아 국제 질서를 흔든 계기는 북로남 왜였다. 북로는 북쪽 몽골의 타타르와 오이라트, 남왜는 남쪽의 왜구를 말한다. 나가시노 전투 1. 16세기 동아시아 정세(임진전쟁 전) (1) 명 1 북로남왜( 北 虜 南 倭 ) : 16세기 북방 몽골족(만리장성 구축)과 남쪽 왜구의 침입 2 장거정의 개혁 : 토지 장량(토지 조사)와

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED Controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

<3130BAB9BDC428BCF6C1A4292E687770>

<3130BAB9BDC428BCF6C1A4292E687770> 檀 國 大 學 校 第 二 十 八 回 학 술 발 표 第 二 十 九 回 특 별 전 경기도 파주 出 土 성주이씨( 星 州 李 氏 ) 형보( 衡 輔 )의 부인 해평윤씨( 海 平 尹 氏 1660~1701) 服 飾 학술발표:2010. 11. 5(금) 13:00 ~ 17:30 단국대학교 인문관 소극장(210호) 특 별 전:2010. 11. 5(금) ~ 2010. 11.

More information

11민락초신문4호

11민락초신문4호 꿈을 키우는 민락 어린이 제2011-2호 민락초등학교 2011년 12월 21일 수요일 1 펴낸곳 : 민락초등학교 펴낸이 : 교 장 심상학 교 감 강옥성 교 감 김두환 교 사 김혜영 성실 근면 정직 4 8 0-8 6 1 경기도 의정부시 용현로 159번길 26 Tel. 031) 851-3813 Fax. 031) 851-3815 http://www.minrak.es.kr

More information

이용자를 위하여 1. 본 보고서의 각종 지표는 강원도, 정부 각부처, 기타 국내 주요 기관에서 생산 한 통계를 이용하여 작성한 것으로서 각 통계표마다 그 출처를 주기하였음. 2. 일부 자료수치는 세목과 합계가 각각 반올림되었으므로 세목의 합이 합계와 일 치되지 않는 경우도 있음. 3. 통계표 및 도표의 내용 중에서 전년도판 수치와 일치되지 않는 것은 최근판에서

More information

제1절 조선시대 이전의 교육

제1절 조선시대 이전의 교육 제1절 우리 교육 약사 제2장 사천교육의 발자취 제1절 우리 교육 약사 1. 근대 이전의 교육 가. 고대의 교육 인류( 人 類 )가 이 지구상에 살면서부터 역사와 함께 교육( 敎 育 )은 어떠한 형태로든 지 존재하고 있었을 것이다. 우리 조상들이 언제부터 이곳에서 삶을 꾸려왔는지는 여 러 가지 유적과 유물로 나타나고 있다. 그 당시 우리조상들의 생활을 미루어

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

사진 24 _ 종루지 전경(서북에서) 사진 25 _ 종루지 남측기단(동에서) 사진 26 _ 종루지 북측기단(서에서) 사진 27 _ 종루지 1차 건물지 초석 적심석 사진 28 _ 종루지 중심 방형적심 유 사진 29 _ 종루지 동측 계단석 <경루지> 위 치 탑지의 남북중심

사진 24 _ 종루지 전경(서북에서) 사진 25 _ 종루지 남측기단(동에서) 사진 26 _ 종루지 북측기단(서에서) 사진 27 _ 종루지 1차 건물지 초석 적심석 사진 28 _ 종루지 중심 방형적심 유 사진 29 _ 종루지 동측 계단석 <경루지> 위 치 탑지의 남북중심 하 출 입 시 설 형태 및 특징 제2차 시기 : 건물 4면 중앙에 각각 1개소씩 존재 - 남, 서, 북면의 기단 중앙에서는 계단지의 흔적이 뚜렷이 나타났으며 전면과 측면의 중앙칸에 위치 - 동서 기단 중앙에서는 계단 유인 계단우석( 階 段 隅 石 ) 받침지대석이 발견 - 계단너비는 동측면에서 발견된 계단우석 지대석의 크기와 위치를 근거로 약 2.06m - 면석과

More information

새만금세미나-1101-이양재.hwp

새만금세미나-1101-이양재.hwp 새만금지역의 합리적인 행정구역 결정방안 이 양 재 원광대학교 교수 Ⅰ. 시작하면서 행정경계의 획정 원칙은 국민 누가 보아도 공감할 수 있는 기준으로 결정 되어야 관련 지방자치단체와 시민들의 분쟁을 최소화할 수 있다는 것을 모 르는 이가 없을 것이다. 신생매립지의 관할에 대한 지방자치단체 간 분쟁(경기도 평택시와 충청남도 당진군, 전라남도 순천시와 전라남도 광양시

More information

??

?? 한국공항공사와 어린이재단이 함께하는 제2회 다문화가정 생활수기 공모전 수기집 대한민국 다문화가정의 행복과 사랑을 함께 만들어 갑니다. Contents 02 04 06 07 08 10 14 16 20 22 25 28 29 30 31 4 5 6 7 8 9 10 11 12 13 15 14 17 16 19 18 21 20 23 22 24 25 26 27 29 28

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

652

652 축 사 2003년 11월 5일 수요일 제 652 호 대구대신문 창간 39주년을 축하합니다! 알차고 당찬 대구대신문으로 지로자(指걟者)의 역할 우리 대학교의 대표적 언론매체인 대구대 신문이 오늘로 창간 서른 아홉 돌을 맞았습 니다. 정론직필을 사시로 삼고 꾸준히 언로 의 개척을 위해 땀흘려온 그 동안의 노고에 전 비호가족을 대표하여 축하의 뜻을 전하 는 바입니다.

More information

歯20010629-001-1-조선일보.PDF

歯20010629-001-1-조선일보.PDF 6. 29 () 11:00 ( ) 20 0 1. 6. 29 11( ).(397-1941) 1. 2. 3. 4. 5. 1. 28, 60() (,, ) 30 619(, 6. 29) () 6 (,,,,, ),,, - 1 - < > (, ), () < > - 2 - 2.,,, 620,, - 3 - 3. ( ) 1,614,, 864 ( ) 1,6 14 864 () 734

More information

일제.PDF

일제.PDF 19,.,. / Copyright 2004 by BookCosmos. All Rights Reserved. Summarized with the Permission from Publisher. ( ).,. 19 / 2005 1 / 384 / 11,000 -, - - - - - - - - -, - - - - - - - S ho rt S umma ry. ' ' '

More information

<33B1C7C3D6C1BEBABB28BCF6C1A42D31313135292E687770>

<33B1C7C3D6C1BEBABB28BCF6C1A42D31313135292E687770> 제 1 부 제1소위원회 (2) 충남지역(1) 부역혐의 민간인 희생 -당진군ㆍ홍성군ㆍ서산군(2)ㆍ예산군- 결정사안 1950. 9ㆍ28수복 후~1951. 1ㆍ4후퇴경 충청남도 당진 홍성 서산(2) 예산군에서 군 경에 의해 발생한 불법적인 민간인 희생으로 진실규명대상자 33명과 조사과정에서 인지된 자 151명이 희생된 사실을 또는 추정하여 진실규명으로 결정한 사례.

More information

<C1DFB1DE2842C7FC292E687770>

<C1DFB1DE2842C7FC292E687770> 무 단 전 재 금 함 2011년 3월 5일 시행 형별 제한 시간 다음 문제를 읽고 알맞은 답을 골라 답안카드의 답란 (1, 2, 3, 4)에 표기하시오. 수험번호 성 명 17. 信 : 1 面 ❷ 武 3 革 4 授 18. 下 : ❶ 三 2 羊 3 東 4 婦 19. 米 : 1 改 2 林 ❸ 貝 4 結 20. 料 : 1 銀 2 火 3 上 ❹ 見 [1 5] 다음 한자(

More information

96부산연주문화\(김창욱\)

96부산연주문화\(김창욱\) 96 1 96 3 4 1 5 2 ( ),, TV,,,,, 96 5,,,, 3, ), ( :,1991) ), ), 13 1 3 96 23, 41, 4 68 (1) 11, 1223, (3/18 ) ( ) 6, 1 (4/2 ) 16, ( ), 1 (5/3 ), ( ) ( ) 1 (2) 96 8 33 41 (4/25 ), (9/24 ), ( ) 961 (5/27 )

More information

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오.

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오. 메 뉴 다음 사항을 꼭 확인하세요! --------------------------------- 2p 안전을 위한 주의 사항 --------------------------------- 3p 구성품 --------------------------------- 4p 각 부분의 명칭 --------------------------------- 5p 제품의 규격

More information

???? 1

???? 1 제 124 호 9 3 와 신시가지를 어느 정도 파악하고 나면 제일 먼저 이 도시에서 언제나 활기가 넘 쳐나는 신시가지로 가게 된다. 그 중심에 는 티무르 공원이 있다. 이 공원을 중심으 로 티무르 박물관과 쇼핑 거리가 밀집돼 있다. 공원 중심에는 우즈베키스탄의 영 웅, 티무르 대제의 동상이 서 있다. 우즈베 키스탄을 여행하다 보면 어느 도시에서나 티무르의 동상이나

More information

鍮뚮┰硫붾돱??李⑤낯

鍮뚮┰硫붾돱??李⑤낯 5 1 2 3 4 5 6 7 8 9 1 2 3 6 7 1 2 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 30 31 32 33 34 36 37 38 39 40 41 42 43 44 45 OK 46 47 OK 48 OK 49 50 51 OK OK 52 53 54 55 56 57 58 59 60 61

More information

°£È£ 1~8 1È£š

°£È£ 1~8 1È£š 협 회 2013년 5월 6일 월요일 5 사진으로 보는 제39차 정기대의원총회 2013년 3월 16일 개최된 제39차 정기대의원총회는 전국에서 올라온 대의원과 내외귀빈 등 300여명이 세종대학교 세종컨벤션센터를 가득 메운채 성황 리에 개최되었다. 보건복지부의 간호인력개편방향 발표로 그 어느 총회보다 보건의료 전문기자의 뜨거운 관심을 모았다. 파독간호조무사 선배들을

More information

목 차 국회 1 월 중 제 개정 법령 대통령령 7 건 ( 제정 -, 개정 7, 폐지 -) 1. 댐건설 및 주변지역지원 등에 관한 법률 시행령 일부개정 1 2. 지방공무원 수당 등에 관한 규정 일부개정 1 3. 경력단절여성등의 경제활동 촉진법 시행령 일부개정 2 4. 대

목 차 국회 1 월 중 제 개정 법령 대통령령 7 건 ( 제정 -, 개정 7, 폐지 -) 1. 댐건설 및 주변지역지원 등에 관한 법률 시행령 일부개정 1 2. 지방공무원 수당 등에 관한 규정 일부개정 1 3. 경력단절여성등의 경제활동 촉진법 시행령 일부개정 2 4. 대 목 차 국회 1 월 중 제 개정 법령 대통령령 7 건 ( 제정 -, 개정 7, 폐지 -) 1. 댐건설 및 주변지역지원 등에 관한 법률 시행령 일부개정 1 2. 지방공무원 수당 등에 관한 규정 일부개정 1 3. 경력단절여성등의 경제활동 촉진법 시행령 일부개정 2 4. 대도시권 광역교통관리에 관한 특별법 시행령 일부개정 3 5. 영유아보육법 시행령 일부개정 4

More information

종사연구자료-이야기방2014 7 18.hwp

종사연구자료-이야기방2014 7 18.hwp 차례 1~3쪽 머리말 4 1. 계대 연구자료 7 가. 증 문하시랑동평장사 하공진공 사적기 7 나. 족보 변천사항 9 1) 1416년 진양부원군 신도비 음기(陰記)상의 자손록 9 2) 1605년 을사보 9 3) 1698년 무인 중수보 9 4) 1719년 기해보 10 5) 1999년 판윤공 파보 10 - 계대 10 - 근거 사서 11 (1) 고려사 척록(高麗史摭錄)

More information

정 답 과 해 설 1 (1) 존중하고 배려하는 언어생활 주요 지문 한 번 더 본문 10~12쪽 01 2 02 5 03 [예시 답] 상대에게 상처를 주고 한 사 람의 삶을 파괴할 수도 있으며, 사회 전체의 분위기를 해쳐 여러 가지 사회 문제를 발생시킬 수 있다. 04 5

정 답 과 해 설 1 (1) 존중하고 배려하는 언어생활 주요 지문 한 번 더 본문 10~12쪽 01 2 02 5 03 [예시 답] 상대에게 상처를 주고 한 사 람의 삶을 파괴할 수도 있으며, 사회 전체의 분위기를 해쳐 여러 가지 사회 문제를 발생시킬 수 있다. 04 5 S I N S A G O 정답과 해설 채움 1. 마음을 나누는 삶 02 2. 효과적인 자료, 적절한 단어 11 3. 문학을 보는 눈 19 4. 보다 쉽게, 보다 분명하게 29 5. 생각 모으기, 단어 만들기 38 정 답 과 해 설 1 (1) 존중하고 배려하는 언어생활 주요 지문 한 번 더 본문 10~12쪽 01 2 02 5 03 [예시 답] 상대에게 상처를

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-SEGMENT DEVICE CONTROL - DEVICE DRIVER Jo, Heeseung 디바이스드라이버구현 : 7-SEGMENT HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 디바이스드라이버구현 : 7-SEGMENT 6-Digit 7-Segment LED

More information

Microsoft Word - SMB-63-2_KR_.doc

Microsoft Word - SMB-63-2_KR_.doc 보충 설명서 압소덱스 AX9000TS/TH-U3 (PROFIBUS-DP 사양) SMB-63K-2 머리글 이번에 당사의 압소덱스를 선정해 주셔서 대단히 감사합니다. 압소덱스는 일반 산업용 조립 기계나 검사 기계 등의 간헐 작동 턴테이블 등을 유연하고 정밀도 높게 구동하기 위해 개발된 다이렉트 드라이브 인덱 스 유닛입니다. 본 설명서는 압소덱스 AX9000TS/TH(PROFIBUS-DP

More information