(Microsoft PowerPoint - 1 IR SNStech-0324 PT\277\353 Final.ppt)

Size: px
Start display at page:

Download "(Microsoft PowerPoint - 1 IR SNStech-0324 PT\277\353 Final.ppt)"

Transcription

1

2 블랭크마스크산업 에스앤에스텍개요 핵심경쟁력 전략과비전 투자포인트 별첨

3 블랭크마스크산업 핵심원재료 지속적성장 진입장벽 공급체인 사업매력도

4 핵심원재료 블랭크마스크 > 포토마스크 > 반도체 / LCD 블랭크마스크 반도체및 LCD (TFT-LCD, Color Filter, OLED) 제조공정에필수적인포토마스크의핵심원재료 - 블랭크마스크는석영기판위에차광막, 반사방지막, 감광막으로구성 포토마스크 블랭크마스크 블랭크마스크 포토마스크 반도체제조공정 반도체소자 TFT-LCD Panel TFT-LCD 제조공정 반도체용 LCD 용 4

5 지속적성장 블랭크마스크의중요성 반도체용 : 안정성장 + LCD 용 : 고성장 반도체집적도 (R) 결정요소 집적도향상 (R 축소 ) 방안 글로벌 R&D 동향 R = κ1 x NA λ κ1 공정 / 재료변수개선 λ 파장길이축소 NA 렌즈사이즈확대 R&D 집중광원의한계장비의한계 핵심원재료인블랭크마스크중요성증대 블랭크마스크수요전망 ( 단위 : 억원 ) ( 단위 : 100m 2 ) 반도체용 4,073 LCD 용 ,145 3,228 3,420 3,625 3,843 CAGR 5.3% CAGR 14.1% ( 자료 : 메릴린치, 모건스탠리보고서 ) 5

6 진입장벽 높은진입장벽 + 공급자위주시장 진입장벽 경쟁구도 (~2002) 경쟁구도 ( 현재 ) 기술장벽 초박막 / 고청정증착, 코팅, 세정기술 투자장벽 규모의경제달성을위한시간적, 자본적투자부담 판매장벽 다단계품질인증요구 ( 포토마스크 반도체 /LCD) 공급자교체에장기간소요 약 20~30 여년간 2~3 개일본업체독과점 세계유일의신규진입업체에스앤에스텍 (2002 년 ~) 6

7 공급체인 Quartz > Blank Mask > Photomask > End Users 반도체용마스크 LCD 용마스크 7

8 사업매력도 고부가기술요구산업 > 안정적성장성 + 지속적수익성 매출액성장률 영업이익률 (2000년 =100) 300 S사반도체부문 H사블랭크마스크부문 50% 40% S 사반도체부문 H 사블랭크마스크부문 % % 10% - FY00 FY01 FY02 FY03 FY04 FY05 FY06 FY07 FY08 0% FY00 FY01 FY02 FY03 FY04 FY05 FY06 FY07 FY08 전방산업경기변동에영향이적은안정적매출성장 ( 자료 : 각사사업보고서, 교보증권보고서추정치 ) 안정적이고지속적인고수익 8

9 에스앤에스텍개요 설립배경 주요연혁 사업영역 경영실적 에스앤에스텍의위상 9

10 설립배경 고부가가치핵심원재료의국산화 소재 ~ 완제품종합경쟁력확보한계 핵심원재료해외의존도심화 원활한원재료수급필요성증대 반도체 /LCD 업체 기술협력 블랭크마스크부품소재국내업체의부재 블랭크마스크국산화필요성절실 에스앤에스텍블랭크마스크국산화개발착수 에스앤에스텍블랭크마스크국산화성공및해외시장진출 기술 / 제품 / 고객의진입장벽해소 대일무역수지적자확대 국가기간산업반도체 /LCD 의기반약화 정부지원 국책과제 10

11 주요연혁 국내유일의블랭크마스크전문기업 인증 World Best Blank Mask 수상 ISO 9001 인증 PKL 품질인증 SMIC 품질인증 신제품인증 (NEP 인증 ) 세계일류상품및생산기업인증 2001 ~ 대한민국 10 대신기술지정 NEP 재인증 우수제조기술연구센타 (ATC) 지정 TSMC 품질인증 에스앤에스텍설립 2007 ~ ~ 부품소재상지식경제부장관상수상대한민국기술대상은상수상 ,000 만불 수출의탑수상 년벤처기업대상 국무총리상수상 코스닥상장예비심사승인 대구광역시스타기업선정 기술혁신형중소기업 (INNO-BIZ) 인증 하이닉스품질인증 Technology Fast 50 Korea 2006 동상수상 Toppan 품질인증 만불 수출의탑및산업부장관표창수상 부품소재전문기업인증 제4회중소기업기술혁신대전 대통령상 수상 Photronics Global 품질인증 2003 ~ 삼성전자품질인증 수출유망중소기업선정 11

12 사업영역 반도체용블랭크마스크 LCD 용블랭크마스크 반도체용 : 안정성장 + LCD 용 : 고성장 Wafer 주요제품 바이너리 블랭크마스크 화학증폭형레지스트블랭크마스크 1000A Normal Cr 블랭크마스크 660 A Thin Cr 블랭크마스크 590 A Thinner Cr 블랭크마스크 주요제품 LCD 8 세대 LCD 6~7 세대 LCD 4~5 세대 LCD 1~3 세대 1220x1440 Size 블랭크마스크 850x1200 Size 블랭크마스크 800x920 Size 블랭크마스크 520x800 Size 블랭크마스크 500x750 Size 블랭크마스크 520x610 Size 블랭크마스크 330x450 Size 블랭크마스크 매출 반도체용 52% LCD 용 48% 12

13 경영실적 고부가 LCD 용성장본격화 > 글로벌입지확보 매출실적 수익실적 ( 억원 ) 400 매출액 ( 반도체 ) 매출액 (LCD) CAGR 36.7% ( 억원 ) (%) 영업이익영업이익률 CAGR 60.1%

14 에스앤에스텍의위상 국내유일, 세계 3대블랭크마스크전문기업, 에스앤에스텍 Best in Growth 매출액, 시장점유율측면세계최고의성장성 Best in Network 글로벌반도체 /LCD 포토마스크업체와상생협력 Best in Developing Hardmask( 반도체 ), TM Mask(LCD) 등차세대제품개발선도 Sole in Korea 국내유일, 세계 3대블랭크마스크전문기업 14

15 핵심경쟁력 전문인력 핵심기술 국가적지원 Global 고객 네트워크 시장지배력

16 전문인력 연구인력비중 경영진및기술진 전문고급인력 + 적극적 R&D 투자 24% 2008 연구인력 25 명 이름직위경력 ETRI ( 국내최초남기수대표이사 & CEO Blank Mask 연구 ), PKL 이종림 전무이사 / 기획및영업 아남반도체 R&D 투자 강긍원 전무이사 / 연구소장 삼성전자 ( 백만원 ) (%) 투자금액매출액대비투자비중 3, , 전석원차한선장동건박연수 상무이사 / 경리및자금이사 / 연구개발담당부장 / 수석연구원 부장 / 수석연구원 PKL ETRI, Hynix, PKL 한국전자 PKL 0 0 이형재 부장 / 수석연구원 PKL, 주성엔지니어링

17 블랭크마스크단면도 (A = 10-10) 핵심기술 극한의코팅 / 증착 / 세정기술보유 두께 2000 A 두께 590 A Defect Free 감광막금속막 표면균일도 15 A 표면균일도 12 A 코팅기술증착기술 초정밀고청정초박막핵심기술 두께 2000 A 머리카락의 10만분의 1 표면균일도 15 A 원자 1~5개 두께 590 A 머리카락의 30만분의 1 표면균일도 12 A 원자 1~4개 요소기술 분석기술 - 원자단위표면분석 - 원자단위조성비분석 - 원자단위결합에너지분석 측정기술 - A 단위두께측정 - 박막위상변화량측정 - 광학특성측정 기 판 세정기술 파티클제거수준 0.1um 이상 cf) 반도체클린룸은 0.5um 이상 검사기술 - Laser Scan Defect 검사 - 전자현미경검사 - SEM 검사 특허보유현황 ( 개 ) 출원 등록 총계 국내 해외 총계

18 국가적지원 국가차원기술개발의주역 주요정부과제수행실적 완료과제신규과제 기술개발과제명 사업비 ( 백만원 ) 평판디스플레이용 TM 블랭크마스크개발 4,114 평판디스플레이용크롬블랭크마스크기술개발 4,104 화학증폭형레지스트를이용한나노급블랭크마스크기술개발 1,535 블랭크마스크용기판가공기술개발 3,980 Haze Free 블랭크마스크기술개발 nm 급 Hard Mask 용블랭크마스크기술개발 760 나노급소자제작을위한신물질 Thinner 블랭크마스크기술개발 134 습식식각이가능한화학증폭형레지스트블랭크마스크기술개발 540 정부지원과제매출실적 ( 억원 ) LCD 용반도체용

19 Global 고객 글로벌고객기반 세계주요반도체 /LCD 생산거점에공급선확보 지속적글로벌네트워크확대 > 지속적수출증가주요수출국 정부지원과제매출실적 19.8% 해외매출비중지속증가 51.2% 지속적인고객개척 한국 아시아 미국 / 유럽 아시아 19

20 네트워크 전략적연구개발 + 전략적마케팅네트워크확보 공동연구개발네트워크 세계 Top 반도체, LCD, 포토마스크업체 + 국가정책지원과제 전략적제휴네트워크 마케팅네트워크 세계 Top 포토마스크업체 20

21 시장지배력 비약적인시장지배력확대 반도체용블랭크마스크시장점유율 LCD 용블랭크마스크시장점유율 50% 40% 세계 국내 37.8% 50% 40% 세계 38.4% 국내 41.4% 42.1% 45.2% 신제품양산개시 32.7% 30% 21.4% 23.7% 23.9% 27.8% 30% 21.8% 22.7% 24.6% 20% 10% 4.3% 대형고객점유율확대 : TSMC, SMIC 5.0% 4.9% 6.1% 9.0% 20% 10% 12.0% 16.6% TM 해외판매본격화 0% 0% (E) 2010(E) (E) 2010(E) 21

22 전략과비전 기술로드맵 신제품 신규고객 신규사업 비전

23 기술로드맵 차세대블랭크마스크기술개발의첨병, 에스앤에스텍 반도체용블랭크마스크기술로드맵 LCD 용블랭크마스크기술로드맵 구조변경 New Material & Structure 개발 Shadowing Effect 저감기술개발 Absorber Layer 두께저감기술개발 Substrate Polishing 기술개발 Defect 제어기술개발 EUV 감광막 Absorber Buffer, Capping Multilayer TM 마스크 1780 New Material & Structure 개발 Top Type TM 블랭크마스크원재료 Bottom Type TM 블랭크마스크원재료 11 세대 10 세대 기 판 세대 구조개선및 Layer 추가 New Material 개발 500 A 이하의금속막개발 2000 A 이하의레지스트코팅기술 BIM PSM PSM Layer Hardmask Layer Hard Mask KrF 위상반전마스크의원재료 ArF 위상반전마스크의원재료 화학증폭형레지스트블랭크마스크 바이너리마스크 세대 1000A Normal Cr 블랭크마스크 Slit mask 용블랭크마스크 1-3 세대 감광막 금속막 기 판 1000A Normal Cr 블랭크마스크 660 A Thin Cr 블랭크마스크 590 A Thinner Cr 블랭크마스크 ~ ~ ~ ~ 23

24 신제품 신제품개요 TM Mask 및 Hard Mask 를필두로고부가가치신제품양산 개요 LCD 용 TM Mask Slit Mask 를대체할 TM Mask 기술을더욱발전시켜, LCD 공정을단순화를가능하게하는신개념제품 반도체용 Hard Mask 45 mm급이하특정 device 용 Pattern define 구현을위한차세대제품 기존제품대비 PR, Cr, Thin Film, Particle 등글로벌리더제품대비제품력모든부분에서우수하고 PR, Thin Film, Thickness, Haze Free 우위 Wet Etch 가가능한세계최초의제품생산일정 2008 년하반기양산 2009 년하반기양산 신제품매출비중전망 25% 20% TM Mask Hard Mask 16.9% 19.0% 20.6% 15% 10% 5% 4.4% 0% (E) 2010(E) 2011(E) 24

25 신규고객 지속적인세계시장점유율확대 글로벌신규타겟고객 지역별마케팅거점 대한민국 유럽 Toppan AMTC DNP Compugraphics DNP DTF SKE Toppan 중국한국북미일본동남아 Compugraphics IBM Toppan DNPT AIPC FINEX 2015 세계시장 M/S 30% 대만일본미국 / 중국싱가포르 / 유럽 25

26 신규사업 연관기술사업추가 > 신규성장동력확보 사업개요 블랭크마스크의기판 Polishing( 가공 ) 사업 : 기술적난이도가높아일본기업에의의존도가높은사업 차세대제품인 Hard Mask 및 Advanced EUVL 용블랭크마스크의주요기술적용가능 세계적수준의 Roughness, Flatness 평가및분석기술보유 기술경쟁력 Flatness 투과율 Lapping Polishing 진행현황 국책과제수주 : 블랭크마스크용기판가공기술개발 총사업비 : 3,980 백만원 ( 정부지원금 : 1,900 백만원 ) 개발기간 : 2008 년 9월 ~ 2013 년 8월 기대효과 기존블랭크마스크사업과의시너지연계를통한획기적원가절감및차세대제품경쟁력강화 26

27 비전 세계적소재부품전문기업 세계적소재부품전문기업 관련다각화 Quartz 연마 세라믹부품가공 미래성장동력 터치스크린 박막형태양전지 반도체용블랭크마스크 현재 : Cash Cow 미래 : Hard Mask LCD 용블랭크마스크 현재 : Cash Cow 고성장 / 고수익 미래 : 10/11세대 27

28 투자포인트 수익확대본격화 매출및수익전망 주요재무비율 주당투자지표 투자포인트요약 최근실적

29 수익확대본격화 본격적인수익확대시기에진입 환경 투자 제품 반도체 : 고객사대일의존도축소 LCD : Market Leader 지위선점 대규모기계 / 설비투자완료 고부가가치제품개발완료 국내외전략적대형고객시장점유율본격확대 자체현금창출을통한보완투자로생산능력증대 차세대블랭크마스크양산 (TM Mask, Hard Mask) 제2 도약의시점엔고로시장확대적기 규모의경제효과개시수익성 Level-up 의기점 제품별마진확대제품포트폴리오 Upgrade 29

30 매출및수익전망 고성장고수익에스앤에스텍 매출전망 영업이익전망 ( 억원 ) 800 LCD용블랭크마스크반도체용블랭크마스크 ( 억원 ) 160 영업이익영업이익률 30% 신규제품 20% 2010(E) 기존제품 80% * 신규제품 : TM Mask, Hard Mask % % 15.2% % % 10% 0 0 0% (E) 2010(E) (E) 2010(E) 30

31 주요재무비율 성장성 / 수익성 / 안정성겸비 성장성 수익성 매출액증가율 (% YoY) 영업이익증가율 (% YoY) , 경상이익증가율 (% YoY) 순이익증가율 (% YoY) , 자산총계증가율 (% YoY) 매출총이익률 (%) 영업이익률 (%) 당기순이익률 (%) 자기자본순이익률 (%) 총자본세전순이익률 (%) 안정성 부채비율 (%) 차입금의존도 (%) 유보율 (%) 유동비율 (%) 당좌비율 (%) 활동성 총자산회전율 (x) 자기자본회전율 (X) 고정자산회전율 (x) 매출채권회전율 (x) 재고자산회전율 (x)

32 주당투자지표 2008 년주당순이익 309 원 주당순이익 ( 원 ) 주당 EBITDA ( 원 ) 주당매출액 ( 원 ) 1,659 2,257 3,041 주당순자산 ( 원 ) 1,491 1,780 2,115 PER (x) PBR (x) 주당순이익 ( 원 ) 주당순자산 ( 원 ) ,491 1,780 2, '06 '07 '08 '06 '07 '08 32

33 투자포인트요약 제2의도약이준비된에스앤에스텍 높은진입장벽 국내유일 / 세계 3 大블랭크마스크업체 기술 / 고객 / 투자장벽 CAGR 53% 03~ 03~ 연평균매출액 53% 성장 전방산업경기에덜민감 영업이익률 20% (2010) 고부가가치신제품매출본격화 규모의경제진입 지속성장사업구조 연관기술산업진출 - 터치스크린, 태양전지, 세라믹 국내유일기술 고성장 고수익 미래시장선도 2008 수출비중 51.2% 투자부담최소 - 긴장비수명주기 원재료 / 장비국산화 확장성높은기반기술 반도체 /LCD 대일의존도탈피 수출확대 원가획기적절감 긴밀한산 / 학 / 관협력 신성장동력개발 33

34 2009 년 2월실적 2008 년의고성장지속 2009 년 2 월말손익현황 ( 백만원 ) 2008 년 2월 ( 누계 ) 2009 년 2월 ( 누계 ) 증가율 (% yoy) 매출비중 / 마진 (%) 매출액 반도체 2,922 3, % 42.1% LCD 1,642 4, % 57.9% 전체 4,564 7, % 100.0% 매출총이익 913 1, % 20.9% 영업이익 % 8.7% 세전이익 흑전 11.0% EBITDA 871 1, % 18.0% 34

35 부록 상장정보 일반현황 요약재무제표

36 상장정보 성장성 / 수익성 / 안정성겸비 공모개요 공모전주식수 12,494,092 주 공모주식수 2,300,000 주 액면가 500 원 공모희망가액 ( 예정 ) 3,500 원 ~4,500 원 공모금액 ( 예정 ) 8,050 백만원 ~10,350 백만원 공모후주식수 14,794,092 주 공모일정 수 요 예 측 일 2009 년 3월 25 일 ~ 26 일 청 약 예 정 일 2009 년 4월 1일 ~ 4 월2일 상 장 예 정 일 2009 년 4월 14 일 대 표 주 관 사 대우증권 공모후주주구성 보호예수현황 공모주주 15.5% 기타 5.9% 최대주주및특수관계인 26.0% 최 대 주 주 3,845,000 벤 처 금 융 2,030,882 소 계 5,875,882 보호예수 외국인 1.5% 벤처금융 2,024,749 일반법인 24.2% 벤처금융 26.9% 기 타 주 주 4,593,461 공 모 주 주 2,300,000 소 계 8,918,210 유통가능물량 36

37 일반현황 회사개요 조직도 회사명 주식회사에스앤에스텍 대표이사 본점소재지 대구광역시달서구호림동 9 번지 대표이사최대주주 남기수정수홍 경영지원부 영업기획부 생산본부 연구소 납입자본금 62 억원 ( 공모전 ) 총무인사팀 영업팀 소형팀 대형팀 SEMI-CON 설립일 2001 년 2월 22 일 업종 반도체재료제조 구매팀 기획팀 생산관리 설비팀 FPD1 주력제품 블랭크마스크 회계팀 QA / AC FPD2 홈페이지 자금팀 MASK 개발 37

38 요약재무제표 요약대차대조표 요약손익계산서 ( 백만원 ) 유동자산 13,996 14,267 22,336 비유동자산 37,530 39,219 35,541 자산총계 51,526 53,485 57,877 부채총계 28,844 27,303 27,911 자본금 6,247 6,247 6,247 자본잉여금 16,334 16,334 16,334 이익잉여금 102 3,602 7,457 자본총계 22,682 26,182 29,966 부채와자본총계 51,526 53,485 57,877 ( 백만원 ) 매출액 20,345 28,200 38,000 매출원가 15,903 20,304 27,561 매출총이익 4,442 7,895 10,440 판매비와관리비 4,112 4,248 4,825 영업이익 331 3,648 5,614 영업외수익 1, ,437 영업외비용 1,710 1,814 7,096 법인세전이익 488 2,711 3,956 법인세비용 당기순이익 157 3,500 3,856 38

<C8ADC0E5C7B020C0AFC5EB20C7F6B4EBC8AD28C6F2B0A1B4DC292E687770>

<C8ADC0E5C7B020C0AFC5EB20C7F6B4EBC8AD28C6F2B0A1B4DC292E687770> 총자산증가율 당기말총자산 전기말총자산 유형자산증가율 당기말유형자산 전기말유형자산 유동자산증가율 당기말유동자산 전기말유동자산 재고자산증가율 당기말재고자산 전기말재고자산 자기자본증가율 당기말자기자본 전기말자기자본 매출액증가율 당기매출액 전기매출액 총자산경상이익률 경상이익 총자산 총자산경상이익률 매출액경상이익률 총자산회전율 경상이익 총자산 경상이익 매출액 매출액

More information

(Microsoft PowerPoint - \(\301\326\)\277\241\275\272\276\330\277\241\275\272\305\330 IR\300\332\267\ pptx)

(Microsoft PowerPoint - \(\301\326\)\277\241\275\272\276\330\277\241\275\272\305\330 IR\300\332\267\ pptx) 에스앤에스텍 2018.11 S&S TECH Ⅰ. 에스앤에스텍개요 Ⅱ. 3분기실적 Ⅲ. 전방산업전망 Ⅳ. Summary 2 Ⅰ. 에스앤에스텍개요 본자료에기술되어있는 2018년 3분기실적은 K-IFRS 기준의내용이며, 또한본자료및설명에는미래의불확실성및위험요인에따라변경될수있는가정에근거한특정정보를포함하고있습니다. 이는세계경제와그에따른트렌드, 시장전략및사업계획등의미래투자계획을포함합니다.

More information

(강의자료)구매단가 절감 전략 및 예상효과

(강의자료)구매단가 절감 전략 및 예상효과 n 손익계산서 대차대조포 노무비 \ 390,000 재료비 \ 3,000,000 (\ 2,850,000) 경비 \ 410,000 재고자산 \ 500,000 (\ 350,000) 외상매입금 \ 300,000 현금 \ 300,000 매출액 \ 5,000,000 빼기 제조원가 \ 3,800,000 (\ 3,650,000) 더하기 SG&A \ 800,000 유동자산

More information

Microsoft Word _Type2_기업_LG디스플레이.doc

Microsoft Word _Type2_기업_LG디스플레이.doc 211 년 1 월 24 일기업분석 BUY ( 유지 ) LG 디스플레이 (3422) 긍정적시각으로접근할때 김동원 김경민 1분기선제적비중확대필요 적정주가, 원 주가상승률 1개월 3개월 개월 2 분기부터본격적실적개선 세트재고소진은연착륙의신호 결산기말 12/8A 12/9A 12/1P 12/11F 12/12F 1분기선제적비중확대필요 그림 1> LGD 주가상승촉매 1

More information

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

K-IFRS,. 3,.,.. 2

K-IFRS,. 3,.,.. 2 2014 3 2014.11. 7 Investor Relations K-IFRS,. 3,.,.. 2 1. 2. 3. 4. 5. 6. ( ) ( ) 3 1. (MAU), ( : ) 32,490 33,669 34,626 35,729 36,350 36,489 37,212 1Q13 2Q13 3Q13 4Q13 1Q14 2Q14 3Q14 32,490 33,669 34,626

More information

Microsoft PowerPoint - KTNG_13.4Q_IR_kor_ _final

Microsoft PowerPoint - KTNG_13.4Q_IR_kor_ _final 본자료는주식회사케이티앤지에대한투자자의이해를증진시키고투자판단에참고 가되는각종정보를제공할목적으로작성되었으며자료를작성하는데있어최대한 객관적인사실에기초하였습니다. Chapter 1. Chapter 2. Chapter 3. 1. 연결경영실적 2. 개별경영실적 - 케이티앤지 - 한국인삼공사 3. 참고자료 그러나현시점에서회사의계획, 추정, 예상등을포함하는미래에관한사항들은실제

More information

0904fc5280257b90

0904fc5280257b90 02-768-3722 james.song@dwsec.com 02-768-4168 will.lee@dwsec.com Valuation 저평가 + 사상 최대 실적 + 재무 구조 개선의 3박자 2분기 OP 1.05조원, 연간 OP 4.1조원의 사상 최대 실적 예상 메모리 시장과 하이닉스를 확신하는 이유 중장기 Level up의 변곡점! I. Valuation 및

More information

Microsoft Word

Microsoft Word 삼성전자 (005930) KB RESEARCH 2017년 4월 7일 1분기 잠정실적: 3년 만의 최대 실적 1분기 영업이익 9.9조원, 컨센서스 상회 2분기 추정 영업이익 12.5조원, 전년대비 54% 증가 IT Analyst 김동원 실적 업사이드 충분, 목표주가 270만원 유지 02-6114-2913 jeff.kim@kbfg.com RA 류진영 02-6114-2964

More information

주성엔지니어링 주성엔지니어링 실적 추이 및 전망 (단위: 십억원, %) 매출액 2Q14 4Q14 2Q1 4Q1E E 216E YoY % 9. (23.4) 2.

주성엔지니어링 주성엔지니어링 실적 추이 및 전망 (단위: 십억원, %) 매출액 2Q14 4Q14 2Q1 4Q1E E 216E YoY % 9. (23.4) 2. 주성엔지니어링 반도체 장비 호조로 양호한 3Q1 실적 21.11.12 투자의견 BUY (유지) 목표주가 8,원 (유지) 26.2 현재가 (11/11, 원) 6,34 Consensus target price (원) 7, Difference from consensus Forecast earnings & valuation 21E 216E 217E 142 176 21

More information

9 산업분석 2004-D-015 자료공표일 화장품, 바닥탈출을시도한다. 자료공표일 (4 월 12 일 08 시 ) 현재담당애널리스트는해당주식을보유하고있지않으며어떤이해관계도없음을알려드립니다.

9 산업분석 2004-D-015 자료공표일 화장품, 바닥탈출을시도한다. 자료공표일 (4 월 12 일 08 시 ) 현재담당애널리스트는해당주식을보유하고있지않으며어떤이해관계도없음을알려드립니다. 9 산업분석 4-D-15 자료공표일 4-4-12 화장품, 바닥탈출을시도한다. 자료공표일 (4 월 12 일 8 시 ) 현재담당애널리스트는해당주식을보유하고있지않으며어떤이해관계도없음을알려드립니다. I. VALUATION...3 II....... 6 III. : 3.2%... 8 IV.... 11 V. :.:...... 16 VI. :?...... 18 VII..........

More information

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Youngin Equipment Solution Technology Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Why YEST? 01, YEST 38.3%, YEST 580 2015

More information

태양광산업 경쟁력조사.hwp

태양광산업 경쟁력조사.hwp 태양광산업산업경쟁력조사 1 Ⅰ. 1. 52 2. 53 Ⅱ. 1. 54 2. 60 3. 64 III. 1. 71 2. 82 Ⅳ. 1. 98 2. 121 3. 132 Ⅴ. 1. 147 2. 160 3. 169 4. SWOT 181 Ⅵ. 1. 187 2. 202 3. 217 Ⅶ. 225 < 요약 > Ⅰ. 서론 II. 태양광산업의개요 III. 태양광기술개발현황

More information

2011_LG»ó»ç_ÃÖÁ¾

2011_LG»ó»ç_ÃÖÁ¾ Contents 2011 Annual Report 04 CEO 인사말씀 06 사업영역 09 연혁 1 0 영업보고서 23 감사보고서 26 재무제표 04 05 사업영역 자원 원자재 부문 석유사업부 중동, 동남아시아, 중앙아시아, 남미 유전 및 가스전 개발 사업 Project사업부 CIS, 중동 지역의 Country Marketing을 통한 프로젝트 사업 CIS,

More information

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc

Microsoft Word - In-DepthReport-CompanyAnalysisIFRS1250-A doc 212-4-18 In-Depth Report, 12-5 고영 (9846) 성장성에대한기대감재부각시점 매수 ( 신규편입 ) T.P 35, 원 ( 신규편입 ) Analyst 강문성 / 스몰캡 mskang74@sk.com +82-3773-9269 Company Data 자본금 43 억원 발행주식수 866 만주 자사주 1 만주 액면가 5 원 시가총액 2,289 억원

More information

슬라이드 1

슬라이드 1 Contents Prologue Chapter 01 Silicon Works Co., Ltd. Chapter 02 Market Expansion Chapter 03 Investment Highlights Appendix Prologue 01. 디스플레이시장의진화 02. 진화의중심, 실리콘웍스 01. 디스플레이시장의진화 지금도 LCD 는다양한 Application

More information

아이콘트롤스 4분기가 기다려진다 (039570) 투자의견 BUY (유지) 목표주가 42,000원 (유지) 3Q16 매출액 -9.4% YoY, 영업이익 +15.2% YoY 현대산업 입주물량 증가에 따라 스마트홈 고마진세 지속 IBS 수주 확대에 따라

아이콘트롤스 4분기가 기다려진다 (039570) 투자의견 BUY (유지) 목표주가 42,000원 (유지) 3Q16 매출액 -9.4% YoY, 영업이익 +15.2% YoY 현대산업 입주물량 증가에 따라 스마트홈 고마진세 지속 IBS 수주 확대에 따라 4분기가 기다려진다 2016.10.28 투자의견 BUY (유지) 목표주가 42,000원 (유지) 3Q16 매출액 -9.4% YoY, 영업이익 +15.2% YoY 현대산업 입주물량 증가에 따라 스마트홈 고마진세 지속 IBS 수주 확대에 따라 4분기 매출액 성장률 37.5% QoQ 기대 시장 컨센서스 대비 매출액은 15.2% 하회, 영업이익 7.9% 상회 의 연결기준

More information

Figure 01 AP 시스템 12 개월 forward PER Band 추이 Figure 02 AP 시스템 12 개월 forward PBR Band 추이 Figure 03 AP 시스템실적추이 Figure 04 중소형 Display 투자전망 Source: AP 시스템,

Figure 01 AP 시스템 12 개월 forward PER Band 추이 Figure 02 AP 시스템 12 개월 forward PBR Band 추이 Figure 03 AP 시스템실적추이 Figure 04 중소형 Display 투자전망 Source: AP 시스템, In-Depth AP 시스템 (054620) 가치를더해가는 OLED 장비업체 BUY 현재 직전 변동 투자의견 BUY 신규 목표주가 31,000원 신규 Earnings Stock Information 현재가 (9/5) 예상주가상승률시가총액비중 (KOSPI내) 발행주식수 52주최저가 / 최고가 3개월일평균거래대금외국인지분율 20,850원 48.7% 5,340억원

More information

재무상태표 (Statements of Financial Position) Ⅱ. 부채 (Liabilities) 1. 당기손익인식금융부채 (Financial liabilities at fair value through profit or loss) 2. 예수부채 (Depos

재무상태표 (Statements of Financial Position) Ⅱ. 부채 (Liabilities) 1. 당기손익인식금융부채 (Financial liabilities at fair value through profit or loss) 2. 예수부채 (Depos 재무상태표 (Statements of Financial Position) 주식회사우리은행 Ⅰ. 자산 (Assets) 1. 현금및현금성자산 (Cash and cash equivalents) 2. 당기손익인식금융자산 (Financial assets at fair value through profit or loss) 3. 매도가능금융자산 (Available for

More information

2009 신한금융지주회사현황 Shinhan Financial Group Report Extend Your Financial Network Shinhan Financial Group Extend Your Financial Network Shinhan Financial Group Shinhan Financial Group Shinhan Financial

More information

<4D F736F F D FB1E8C0CEC7CA5FBFA4BAF1BCBCB9CCC4DC5FC0DBBCBAC1DF5F>

<4D F736F F D FB1E8C0CEC7CA5FBFA4BAF1BCBCB9CCC4DC5FC0DBBCBAC1DF5F> LIG Research Division Company Analysis 26/7/22 Analyst 김인필ㆍ2)6923-735ㆍipkim@ligstock.com 엘비세미콘 (697KQ Buy 유지 TP 5, 원상향 ) 내년까지안정적성장기대 - 2 분기실적, 영업수익 분기대비감소하나자회사처분손실부분의환입으로양호한실적기대 - PMIC 공급부족현상당분간지속될것으로기대되며내년

More information

코디엠.hwp

코디엠.hwp 보고서 2019-25 2019.1.17 코디엠 (224060) IT H/W < 작성기관 : 한국기업데이터 > 보고서 ( 요약 ) 보고서 ( 전문 ) 기업현황산업분석기술분석주요이슈및전망 코디엠(224060) IT H/W 이 보고서는 자본시장 혁신을 위한 코스닥시장 활성화 방안 의 일환으로 코스닥 기업에 대한 투자정보 확충을 위해, 한국거래소와 한국예탁결제원의

More information

2007

2007 Eugene Research 기업분석 2019. 04. 30 삼성엔지니어링 (028050.KS) 좋은실적과곧나올해외수주 기계 / 조선 / 건설, 부동산이상우 Tel. 02)368-6874 / tinycare@eugenefn.com 시장 Consensus 대비 ( 영업이익기준 ) Above In-line Below O BUY( 유지 ) 목표주가 (12M, 상향

More information

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4

COMPANY INITIATION , 98,400 1), 2), 3) DCF 98,400 75,300 23,100 DCF ~ (EV) (+ ) (93.1) 1,024.6 ( ) 10.4 (019680) 2007 321 COMPANY INITIATION, CFA 02) 3772-1557 02) 3772-1568 emilypark@goodi.com Kitten78@goodi.com KOSPI : 1444.17p KOSDAQ : 645.37p : 756.4 : 5,000 : 8.5 : 3.4 (39.8%) 52 / : 95,400 /65,600

More information

Microsoft Word - HMC_Company_Note_Petasys_130128.doc

Microsoft Word - HMC_Company_Note_Petasys_130128.doc Company Note 213. 1. 28 이수페타시스(766) BUY / TP 8,원 스마트폰 PCB 업체로 재평가 필요 현재주가 (1/25) 상승여력 시가총액 발행주식수 자본금/액면가 52주 최고가/최저가 일평균 거래대금 (6일) Analyst 김상표 2) 3787-259 spkim@hmcib.com Analyst 노근창 2) 3787-231 greg@hmcib.com

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

슬라이드 1

슬라이드 1 2011 년 4 분기및연간실적발표 FEBRUARY. 2012 20 13 1. Disclaimer CONTENTS 2. 넥스트칩제품 3. 01. 12. 4분기주요기사등 02. 12. 4분기경영실적 03. 분기별매출및영업이익추이 04. 분기별, 제품별매출구성 05. 제품의지역별매출구성 06. 2012년실적결산 07. 향후전망 4. Appendix 20 13 Chapter

More information

µ¿¾çP&F_ÃÖÁ¾

µ¿¾çP&F_ÃÖÁ¾ www.dypnf.co.kr INVESTOR RELATIONS 29 www.dypnf.co.kr INVESTOR RELATIONS 29 CONTENTS Chapter 1_ Chapter 2_ Chapter 3_ Chapter 4_ Chapter 5_ Corporate Identity Global Chapter 6_ INVESTOR RELATIONS 29 1

More information

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17P 2Q17E 3Q17E 4Q17E E 2017E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,766 10,067 10,213 10 실적 Review 2017. 4. 27 Outperform(Maintain) 목표주가 : 34,000원주가 (4/26): 31,400원시가총액 : 112,354억원 LG 디스플레이 (034220) 중소형 OLED 경쟁력확대가능성에주목 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com 2Q17 영업이익 9,310

More information

Microsoft Word - 20160525172217103.doc

Microsoft Word - 20160525172217103.doc 216년 5월 26일 산업분석 디스플레이 Overweight (유지) 실적 턴어라운드 기대 LCD 구조조정, 하반기 수급 및 가격에 긍정적 디스플레이,가전,휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 김범수 2-6114-2932 bk.kim@hdsrc.com 하반기 글로벌 디스플레이 산업은 한국 패널업체의 LCD

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

<3033BCF6C3E23230B4EBB1B9C7D1B1B9BBEAC0CFB7F9BBF3C7B0B0E6C0EFC7F6C8B22E687770>

<3033BCF6C3E23230B4EBB1B9C7D1B1B9BBEAC0CFB7F9BBF3C7B0B0E6C0EFC7F6C8B22E687770> - 1 - - 2 - - 3 - - 4 - - 5 - 유망 일류상품 품목군별 분포 (단위 : 개) 16 14 12 10 8 6 4 2 0 14 10 4 3 3 2 가전 기계 식품 부품 기타 IT - 6 - 가전 유망시장 지역별 분포 (단위 : 개국) 8 7 6 5 4 3 2 1 0 8 5 3 1 1 동남아 유럽 기타 아시아 북미 - 7 - - 8 - - 9

More information

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc

Microsoft Word - 류제현.doc;_기업분석_20050426_57.doc Research Center 2005.4.26 에이디피 (079950) 2005년, 두 마리 토끼를 잡는다 Analyst 류제현 (02) 3774-1418 jayryu@miraeasset.com Initiate BUY Target Price 13,600원 Price(4/25) 9,840원 6개월 목표주가 13,600원, BUY 의견으로 Initiate 목표주가

More information

Microsoft Word - 20150529144345357_1

Microsoft Word - 20150529144345357_1 Company Report 215.6.1 다음카카오 (3572) 새로운 해외성장 동력 확보 인터넷 투자의견: BUY (M) 목표주가: 14,원 (M) What s new? 월간 사용자 1천만명, 인도네시아 3대 SNS Path 인수. Path 는 폐쇄형 SNS로 유료아이템, 광고 등의 수익모델 로 인도네시아 전체 App 수익 13위 랭크(5/28 ios 기준)

More information

아이콘트롤스 입주물량 증가로 스마트 홈 실적 호조 (039570) 투자의견 BUY (유지) 목표주가 42,000원 (상향) 1Q16 매출액 +21.4% YoY, 영업이익 +13.9% YoY 시장 기대치보다 빠른 속도로 확대되는 스마트 홈 비즈니스 M

아이콘트롤스 입주물량 증가로 스마트 홈 실적 호조 (039570) 투자의견 BUY (유지) 목표주가 42,000원 (상향) 1Q16 매출액 +21.4% YoY, 영업이익 +13.9% YoY 시장 기대치보다 빠른 속도로 확대되는 스마트 홈 비즈니스 M 입주물량 증가로 스마트 홈 실적 호조 2016.04.29 투자의견 BUY (유지) 목표주가 42,000원 (상향) 1Q16 매출액 +21.4% YoY, 영업이익 +13.9% YoY 시장 기대치보다 빠른 속도로 확대되는 스마트 홈 비즈니스 M&E 매출 기여 증가로 마진율은 둔화되나, 이익 레벨은 커질 것 시장 컨센서스 대비 매출액은 5.8% 상회, 영업이익은

More information

(Microsoft PowerPoint - IR NewsLetter_\263\330\275\272\306\256\304\250_2013\263\3424Q_Final)

(Microsoft PowerPoint - IR NewsLetter_\263\330\275\272\306\256\304\250_2013\263\3424Q_Final) 20111 년 4분기및연간실적발표 FEBRUARY. 2012 20 14 1. Disclaimer CONTENTS 2. 넥스트칩제품 3. 01. 13. 4 분기주요기사등 02. 13. 4 분기경영실적 03. 분기별매출및영업이익추이 04. 분기별, 제품별매출구성 05. 제품의지역별매출구성 06. 2013 년실적결산 07. 향후전망 4. Appendix 20 14

More information

자동차 / 기계 Company Update Analyst 이상현 02) 목표주가 현재가 (2/20) 매수 ( 유지 ) 45,000 원 32,650 원 KOSPI (2/20) 2,229.76

자동차 / 기계 Company Update Analyst 이상현 02) 목표주가 현재가 (2/20) 매수 ( 유지 ) 45,000 원 32,650 원 KOSPI (2/20) 2,229.76 자동차 / 기계 219. 2. 21 Company Update Analyst 이상현 2) 6915-5662 coolcat.auto@ibks.com 목표주가 현재가 (2/2) 매수 ( 유지 ) 45, 원 32,65 원 KOSPI (2/2) 2,229.76pt 시가총액 1,699 십억원 발행주식수 52,4 천주 액면가 5, 원 52주 최고가 35,5 원 최저가

More information

IR

IR Company Presentation November, 2017 Ⅰ. Overview Ⅱ. Highlights Ⅲ. Investment Merits Ⅳ. Business Performance Ⅴ. Appendix 본자료의내용중향후예측관련내용은본자료배포시점기준의전망이며실제활동이나결과와다를수있음을밝혀드립니다. 따라서, 본자료에포함되어있는예측전망, 미래에관한정보만을의존하여투자결정을내리지말아야하며이에따른투자책임은

More information

ºÐ¸»¾ß±Ýȸº¸%1ȱÇ

ºÐ¸»¾ß±Ýȸº¸%1鱂 KOREAN POWDER METALLURGY INSTITUTE I www.kpmi.or.kr ö ä, 기업소개 김덕주 새로운 미래를 열어가는 선도 기업 대광소결금속(주) 김덕주(대광소결금속(주)/대표이사) 1. 회사소개 대광소결금속은 1997년도 창립하여 지금까지 분말야 금만 매진해온 기업이다. 창립 당시 IMF 라는 혹독한 기업환경에서도

More information

2007

2007 웹케시 (535,KQ) 스몰캡박종선 Tel. 368676 / jongsun.park@eugenefn.com 국내유일 B2B 핀테크플랫폼기업으로부각 현재주가는 219 년기준 PER 4.5 배로유사업체대비할증되어거래 현재주가는 219 년예상실적기준 (EPS 1,21 원 ) PER 4.5 배로국내유사업체 ( 더존비즈온, 비즈니스온, 이니텍 ) 의평균 PER 22.8

More information

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시 목재미래기업발굴및육성을위한 중장기사업방향제안 2017. 11. 목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 16 2.1. 목재제품의종류 16 2.2. 국내목재산업현황 19 2.3. 목재산업트렌드분석및미래시장예측 33 Ⅲ. 목재미래기업의정의및분류

More information

한국타이어 (161390) 과감한 2016년 경영목표, 실현 가능성 높아 투자의견 BUY (유지) 목표주가 65,000원 (상향) 35.6 현재가 (02/04, 원) 47,950 Consensus target price (원) 56,500 Diffe

한국타이어 (161390) 과감한 2016년 경영목표, 실현 가능성 높아 투자의견 BUY (유지) 목표주가 65,000원 (상향) 35.6 현재가 (02/04, 원) 47,950 Consensus target price (원) 56,500 Diffe 과감한 216년 경영목표, 실현 가능성 높아 216.2.5 투자의견 BUY (유지) 목표주가 65,원 (상향) 35.6 현재가 (2/4, 원) 47,95 Consensus target price (원) 56,5 Difference from consensus (%) 15. 214 215E 216E 217E 매출액 (십억원) 6,681 6,446 7,7 7,415

More information

LG전자 Valuation LG전자에대한목표주가를기존 66,원에서 72,원으로상향한다. HE 사업부와 H&A 사업부의실적을상향조정했기때문이다. 향후에 VC 사업부의사업가치를반영하기시작하면, 추가적인목표주가상향도가능할것으로판단한다. 표 1. LG 전자의 Valuation

LG전자 Valuation LG전자에대한목표주가를기존 66,원에서 72,원으로상향한다. HE 사업부와 H&A 사업부의실적을상향조정했기때문이다. 향후에 VC 사업부의사업가치를반영하기시작하면, 추가적인목표주가상향도가능할것으로판단한다. 표 1. LG 전자의 Valuation 216 년 1 월 27 일 I Equity Research LG 전자 (6657) 가전, TV 수익성확인과전장부품이라는미래 4Q15 Review: 영업이익 3,49억원으로컨센서스상회 LG전자의 15년 4분기매출액은 14조 5,61억원 (YoY -4%, QoQ +4%), 영업이익은 3,49억원 (YoY +29%, QoQ +19%) 으로컨센서스를상회하는호실적을달성했다.

More information

삼성엔지니어링 삼성 그룹향 매출 가시화 (028050) 투자의견 목표주가 HOLD (유지) 10,000원 (유지) 3Q16 매출액 +90.3% YoY, 영업이익 YoY 흑자전환 관계사 수주 본격 매출 인식으로 안정적 실적 달성 비관계사 신규 수주 확

삼성엔지니어링 삼성 그룹향 매출 가시화 (028050) 투자의견 목표주가 HOLD (유지) 10,000원 (유지) 3Q16 매출액 +90.3% YoY, 영업이익 YoY 흑자전환 관계사 수주 본격 매출 인식으로 안정적 실적 달성 비관계사 신규 수주 확 삼성 그룹향 매출 가시화 2016.10.28 투자의견 목표주가 HOLD (유지) 10,000원 (유지) 3Q16 매출액 +90.3% YoY, 영업이익 YoY 흑자전환 관계사 수주 본격 매출 인식으로 안정적 실적 달성 비관계사 신규 수주 확보 및 현안 프로젝트 원가관리가 관건 시장 컨센서스 대비 매출액은 1.7% 하회, 영업이익은 30.7% 상회 Upside

More information

2007

2007 기업분석 214. 1. 2 반도체 / 디스플레이담당이정 Tel. 368-6124 / jeonglee@eugenefn.com 시장 Consensus 대비 Above In-line Below O 목표주가 (12M, 유지 ) 현재주가 (1/17) BUY( 유지 ) 46, 원 29,6 원 Key Data ( 기준일 : 214. 1. 17) KOSPI(pt) 1,9.7

More information

SK 하이닉스 (66) SK 하이닉스 12 개월 Trailing P/B vs. 분기영업이익비고 : 최저점수준의 P/B Multiple ( 배 ) 3.5 SK 하이닉스영업이익 ( 우 ) SK 하이닉스 Trailing P/B ( 조원 )

SK 하이닉스 (66) SK 하이닉스 12 개월 Trailing P/B vs. 분기영업이익비고 : 최저점수준의 P/B Multiple ( 배 ) 3.5 SK 하이닉스영업이익 ( 우 ) SK 하이닉스 Trailing P/B ( 조원 ) Company Update 218. 3. 8 BUY(Maintain) 목표주가 : 12,원주가 (3/7): 82,7원시가총액 : 62,58억원 SK 하이닉스 (66) 사상최대실적과 3D NAND 투자확대 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 1Q18 영업이익 4.5 조원, 2Q18 영업이익 4.9

More information

Microsoft Word - I001_UNIT_ _ doc

Microsoft Word - I001_UNIT_ _ doc 2013-7-10 인터넷 / 게임 2Q13 Preview: 성장스토리유효 비중확대 ( 유지 ) Analyst 최관순 ks1.choi@sk.com +82-3773-8812 2Q13: 시장기대치소폭하회 인터넷포털 : 1 위사업자영향력강화 게임 : 해외성과에대한프리미엄 업종및투자포인트 구분투자의견 / 목표주가투자포인트 인터넷 / 게임 비중확대 NHN 매수 / 350,000원

More information

LIG Research Division Company Analysis 2016/04/29 Analyst 신현준ㆍ 02) ㆍ 삼성전자 (005930KS Buy 유지 TP 1,600,000 원유지 ) 별 (Gal

LIG Research Division Company Analysis 2016/04/29 Analyst 신현준ㆍ 02) ㆍ 삼성전자 (005930KS Buy 유지 TP 1,600,000 원유지 ) 별 (Gal LIG Research Division Company Analysis 216/4/29 Analyst 신현준ㆍ 2)6923-7336 ㆍ anthony88@ligstock.com 삼성전자 (593KS Buy 유지 TP 1,6, 원유지 ) 별 (Galaxy) 이빛나는밤 전가격대에걸친스마트폰의판매호조및이익안정성확보로, IM 사업부문의실적견인은 1Q16 뿐만아 니라다음분기에도이어질것으로전망됩니다.

More information

Microsoft Word - 131007_LG전자_3Q13 프리뷰_.doc

Microsoft Word - 131007_LG전자_3Q13 프리뷰_.doc 기업분석 2013. 10. 07 LG전자(066570.KS) 3Q13 Preview: 3분기 부진했지만 4분기부터 개선 전망 전기전자 담당 윤혁진 Tel. 368-6499 / hjyoon@eugenefn.com 시장 Consensus 대비 Above In-line Below O BUY(신규) 목표주가(12M, 신규) 86,000원 현재주가(10/4) 67,200원

More information

2010 산업원천기술로드맵요약보고서 - 화학공정소재

2010 산업원천기술로드맵요약보고서 - 화학공정소재 2010 산업원천기술로드맵요약보고서 - 화학공정소재 - 2010. 7 본요약보고서는한국산업기술진흥원주관으 로수립되고있는 2010 년도산업원천기술로 드맵의일부내용을발췌한것입니다. 산업원천기술로드맵전체내용을담은 2010 산업원천기술로드맵보고서 는오는 8월한국산업기술진흥원홈페이지 (www.kiat.or.kr) 를통해공개될예정입니다. 목 차 Ⅰ. 화학공정소재산업의정의및범위

More information

Microsoft PowerPoint - 2Q09_Earnings PT_Kor [호환 모드]

Microsoft PowerPoint - 2Q09_Earnings PT_Kor [호환 모드] EARNINGS RELEASE For the quarter ended June 30, 2009 2009. 7. 30 Investor Relations 목차 페이지 제목 2 3 3 10 13 Disclaimers 2Q09 Actual Review 1. 본사실적 2. 연결손익요약 3. Appendix - 1 - Disclaimers 연결추정실적 : 본실적은당사와아래

More information

메리츠종금증권 f

메리츠종금증권 f 219. 8. 19 아이씨디 491 하반기중국 OLED 투자재개예상 스몰캡 Analyst 이상현 2. 6454-4877 sang-hyun.lee@meritz.co.kr RA 이창석 2. 6454-4889 changseok.lee@meritz.co.kr 2Q19 매출액은 117억원 (-79% YoY), 영업적자 -37억원( 적자전환 ) 기록 전방패널업체의 OLED

More information

C O M P A N Y N O T E 기아자동차삼영전자 ( 6 8 ) (7) < 표 1> 실적추정변경사항 ( 십억원 ) 변경후변경전 1Q1F Q1F 3Q1F 4Q1F 1F 16F 1Q1F Q1F 3Q1F 4Q1F 1F 16F DRAM Bit Growth -.1%.4%

C O M P A N Y N O T E 기아자동차삼영전자 ( 6 8 ) (7) < 표 1> 실적추정변경사항 ( 십억원 ) 변경후변경전 1Q1F Q1F 3Q1F 4Q1F 1F 16F 1Q1F Q1F 3Q1F 4Q1F 1F 16F DRAM Bit Growth -.1%.4% Company Note 1. 4. 1 4 SK 하이닉스 (66) BUY / TP 6, 원 반도체 / 가전, 전자부품 Analyst 노근창 ) 3787-31 greg@hmcib.com 하반기 LP DDR4 모멘텀에주목 현재주가 (4/13) 상승여력 44, 원 3.7% 시가총액발행주식수자본금 / 액면가 3,178 십억원 78, 천주 368 십억원 /, 원 주최고가

More information

대덕GDS

대덕GDS Resaerch 3 대덕 GDS 리서치 3 팀 : 이웅기 leewk7@naver.com B.C.M.F - 2010 목 차 1. 업황분석 2. 기업소개 3. 재무분석 4. Valuation 5. Q & A Chapter 1. 업황분석 PCB 산업 -2002 년이후꾸준히증가하는 PCB 수요증가 세계 PCB 수요증가추이 60 PCB수요 50 40 30 20 10

More information

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17E 3Q17E 4Q17E E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,945 10,339 10,522 11,

LG 디스플레이연결실적추이및전망 ( 단위 : 십억원 ) 1Q16 2Q16 3Q16 4Q16 1Q17E 2Q17E 3Q17E 4Q17E E 출하면적 ['000m 2 ] 9,483 9,962 10,859 10,945 10,339 10,522 11, 실적 Preview 2017. 4. 18 Outperform(Maintain) 목표주가 : 34,000원주가 (4/17): 30,800원시가총액 : 110,207억원 LG 디스플레이 (034220) Flexible OLED 경쟁력확대가능성존재 Stock Data KOSPI (4/17) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information

A nalyst 최 훈 02) 이엠넷 (123570) 탐방코멘트 투자의견 Not Rated 목표주가 N/A 일본 검색광고 성장 수혜주 Upside / Downside (%) 현재가 (6/28, 원

A nalyst 최 훈 02) 이엠넷 (123570) 탐방코멘트 투자의견 Not Rated 목표주가 N/A 일본 검색광고 성장 수혜주 Upside / Downside (%) 현재가 (6/28, 원 212. 6. 29 A nalyst 최 훈 2) 3777-875 hchoi@kbsec.co.kr 탐방코멘트 투자의견 Not Rated 목표주가 N/A 일본 검색광고 성장 수혜주 Upside / Downside (%) 현재가 (6/28, 원) 8,66 Consensus target price (원) Difference from consensus (%) Forecast

More information

신영증권 f

신영증권 f 현대차 (005380.KS) 매수 ( 상향 ) GENESIS 가이끄는질적성장 현재주가 (6/7) 목표주가 (12M) 140,000원 165,000원 GENESIS 브랜드독립출시 4년, 서서히보이는가능성오는 11월, GENESIS 브랜드의첫번째 SUV GV80 출시예정. 2020년에는 G80과두번째 SUV, GV70가출시될예정 GENESIS 가이끄는 ASP 인상효과가

More information

<4D F736F F D BBEFBFB5C0FCC0DA2028BFC2B6F3C0CE29>

<4D F736F F D BBEFBFB5C0FCC0DA2028BFC2B6F3C0CE29> 2018 년 5 월 16 일 삼영전자 (005680) 기업분석 Mid-Small Cap 1Q18 Review: 영업이익 +26.7 Analyst 정홍식 02 3779 8688 hsjeong@ebestsec.co.kr 1Q18 Review: Sales +14.2, OP +26.7 동사의 1Q18 실적은매출액 611 억원 (+14.2% yoy), 영업이익 26

More information

CAPE Research Division Company Analysis _ 2017/11/09 Analyst 조미진ㆍ02) ㆍmj27 CJ 제일제당 (097950KS S Buy 유지 TP 500,000 원유지 ) 이제는믿을수있다!

CAPE Research Division Company Analysis _ 2017/11/09 Analyst 조미진ㆍ02) ㆍmj27 CJ 제일제당 (097950KS S Buy 유지 TP 500,000 원유지 ) 이제는믿을수있다! CAPE Research Division Company Analysis _ 217/11/9 Analyst 조미진ㆍ2)6923-7317 ㆍmj27 7@capefn.com CJ 제일제당 (9795KS S Buy 유지 TP 5, 원유지 ) 이제는믿을수있다! 사상최대실적달성 3분기는대통제외매출액 15.9%, 영업이익 13.% 전년대비증가했습니다. 동사의사업구조복잡성을감안할때,

More information

Microsoft Word - 20141214174232730.doc

Microsoft Word - 20141214174232730.doc 214년 12월 15일 산업분석 디스플레이 Overweight (유지) 모바일 스펙 경쟁 지속 전망 전략 스마트 폰 조기출시 예상 디스플레이 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 임민규 2-6114-2953 minkyu.lim@hdsrc.com 리서치센터 트위터 @QnA_Research 주요 부품업체에 따르면 내년

More information

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials OLED 시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials Market, 2017-2 -

More information

Highlights

Highlights 2017 년 7 월 26 일 (034220) LCD 가격하락 vs. OLED 기대감 매수 ( 유지 ) 주가 (7 월 25 일 ) 32,800 원 목표주가 40,000 원 ( 하향 ) 상승여력 22.0% 2분기영업이익 8,040 억원 (-21.7% QoQ) 으로컨센서스하회 2017년영업이익 3.06 조원으로기존추정치 (3.5조원) 대비 14% 하회할전망 2019년

More information

Microsoft Word - 2016051015294817K_01_08.docx

Microsoft Word - 2016051015294817K_01_08.docx 216. 5. 13 카카오 (3572) 1Q16 review - O2O 기대감 vs 광고 부진 1분기 영업이익 Y-Y 55.2% 감소한 211억원을 기록하여 컨센서스에 부합 6월 대리운전 및 헤어샵 서비스의 출시 재확인 및 하반기 가사도우미 호출서비스 및 주 차장 예약서비스 출시 계획 공개로 O2O 중심의 성장 계획을 밝힘 그러나 PC 매출 감소로 전체 광고

More information

Microsoft Word - Company_Chemtronics_20140512

Microsoft Word - Company_Chemtronics_20140512 LIG Research Center Company Analysis 2014/05/12 Analyst 강봉우ㆍ02)6923-7337ㆍbwkang@ligstock.com 켐트로닉스 (089010KQ Buy 유지 TP 32,000원 유지) 기다리던 소식이 왔다 삼성전자는 AM OLED 패널을 탑재한 태블릿PC를 공급 예정. 중저가 스마트폰을 비롯 적용 어플리케이션

More information

Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일

Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일 Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일 부품 01 Products 02 시장점유율 베트남법인 성장 본격화 04 우호적인 업황 3.

More information

에너지절약_수정

에너지절약_수정 Contents 산업훈장 포장 국무총리표창 삼성토탈주식회사 09 SK하이닉스(주) 93 (주)이건창호 15 한국전자통신연구원 100 현대중공업(주) 20 KT 106 두산중공업 주식회사 24 (사)전국주부교실 대구지사부 111 한국전력공사 30 (주)부-스타 36 [단체] (주)터보맥스 115 [단체] 강원도청 119 [단체] 현대오일뱅크(주) 124 [단체]

More information

2007

2007 215. 1. 16 Small-Cap IPO 연우 (11596, IPO 예정 ) 상장예정일 : 215.11.2 기관수요예측 : 215.1.15 ~ 16 화장품용기제조업국내 1 위업체 화장품펌프형용기국내 1 위. 튜브형국내 3 위업체 - 1993 년설립, 오는 11 월 2 일코스닥상장예정인화장품용기전문제조및판매업체 스몰캡팀장박종선 Tel. 368-676 / jongsun.park@eugenefn.com

More information

< FB9DDB5B5C3BC205FBBEABEF7>

< FB9DDB5B5C3BC205FBBEABEF7> SK 하이닉스 (000660) 기업분석 3분기실적보다는업황회복추세에주목하자 서브카피 BUY 현재 직전 변동 투자의견 BUY 신규 목표주가 27,000 신규 Earnings Investment Rationale Valuation Call 단기이익모멘텀 장기성장성 Trading Data & Expected Return 현재가 (8/23) 예상주가상승률시가총액비중

More information

LG 하우시스목표주가재산정 P 2016E 2017E 2018E EPS ( 원 ) 6,603 7,178 8,850 9,160 9,770 EPS 증가율 (%) (2.0) PER (X)

LG 하우시스목표주가재산정 P 2016E 2017E 2018E EPS ( 원 ) 6,603 7,178 8,850 9,160 9,770 EPS 증가율 (%) (2.0) PER (X) LG하우시스 아쉬운 실적, 2016년을 기대한다 2016.01.27 투자의견 BUY (유지) 목표주가 190,000원 (하향) 중국 건설경기 위축, TSP 업체 출하량 감소로 매출 부진 PVC 가격 하락 추세, 마진 개선세 지속될 전망 입주물량 증가에 따른 건자재 실적 호조, 2016년을 기대 시장 컨센서스 대비 매출액은 7.4% 하회, 영업이익은 46.3%

More information

Microsoft Word - SK D

Microsoft Word - SK D 개발 프로젝트 매출 증가로 호실적 시현 2015.11.16 3분기 누계 실적, 2014년 연간 실적을 초과하는 호실적 달성 종로수송타워, 해운대 프로젝트에서 기성 증가가 호실적의 주 요인 수송2, 울진EPC 등의 예정 프로젝트 추가로 실적 성장 지속될 것 투자의견 BUY (유지) 목표주가 92,000원 (유지) Upside / Downside (%) 46.0

More information

Microsoft Word - HMC_Company_Note_Innox_ doc

Microsoft Word - HMC_Company_Note_Innox_ doc Company Note 214. 2. 4 이녹스 (8839) BUY / TP 32, 원 올해에도매분기최대실적경신예상 Analyst 김상표 2) 3787-29 spkim@hmcib.com Analyst 노근창 2) 3787-231 greg@hmcib.com 현재주가 (2/3) 상승여력 22,원 4.1% 시가총액발행주식수자본금 / 액면가 271 십억원 12,38

More information

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3 반도체산업 삼성전자, Foundry 부문성장지속 216. 3. 1 Analyst 박유악 (698-6688) Overweight 관련종목 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 리노공업 BUY TP 57, 원 Investment Summary - 16 년삼성전자

More information

삼성전자 (005930) 2019/1/3 기업실적 Preview 2019 년상고하저실적전망 예상보다부진할 4분기실적 4Q18F 삼성전자의매출액 62.8조원 (-4.9% YoY, -4.1% QoQ), 영업이익 13.7조원 (-9.7% YoY, -22.2% QoQ) 으로시

삼성전자 (005930) 2019/1/3 기업실적 Preview 2019 년상고하저실적전망 예상보다부진할 4분기실적 4Q18F 삼성전자의매출액 62.8조원 (-4.9% YoY, -4.1% QoQ), 영업이익 13.7조원 (-9.7% YoY, -22.2% QoQ) 으로시 삼성전자 (005930) 19/1/3 기업실적 Preview 19 년상고하저실적전망 예상보다부진할 4분기실적 4Q18F 삼성전자의매출액 62.8조원 (4.9% YoY, 4.1% QoQ), 영업이익 13.7조원 (9.7% YoY, 22.2% QoQ) 으로시장전망치를하회할것으로예 상한다. 서버고객사들의투자효율화, 신규 CPU 대기수요와더불어 DRAM 가격하락구간에서구매를지연하고있어수요가둔화된모습이다.

More information

Microsoft Word _씨젠_이무진.docx

Microsoft Word _씨젠_이무진.docx LIG Research Division Company Analysis 216/1/13 Analyst 이무진ㆍ 2)6923-7343 ㆍ c_in_c@ligstock.com 씨젠 (9653KQ Buy 유지 TP 52, 원유지 ) 216 년, 변화의시발점 - 분자진단산업은최근 Real-time PCR 시약기술의중요성이계속부각되고있는상황입니다. 분자진단기 기업체들의시약기술확보움직임은

More information

Creating the future of Display and Energy Samsung SDI

Creating the future of Display and Energy Samsung SDI 2006 2 Creating the future of Display and Energy Samsung SDI 2006. 7. 25 1 06 2 ( ) 06.2Q QoQ 06.1Q 05.2Q YoY 16,250-962 17,212 18,382-2,132 221-189 410 347-126 (%) (1.4%) (2.4%) (1.9%) 171 1) - 480

More information

목 차 1. LED/ 광 1 2. 자동차 의료기기 정보가전 플랜트엔지니어링 생산시스템 조선 로봇 화학공정 세라믹 디스플레이 이차전지

목 차 1. LED/ 광 1 2. 자동차 의료기기 정보가전 플랜트엔지니어링 생산시스템 조선 로봇 화학공정 세라믹 디스플레이 이차전지 주요산업별글로벌기술규제 2015. 12. 산업통상자원부 한국산업기술진흥원 목 차 1. LED/ 광 1 2. 자동차 38 3. 의료기기 71 4. 정보가전 88 5. 플랜트엔지니어링 105 6. 생산시스템 119 7. 조선 133 8. 로봇 165 9. 화학공정 189 10. 세라믹 206 11. 디스플레이 231 12. 이차전지 246 13. 섬유의류 265

More information

0904fc52803e572c

0904fc52803e572c 212. 4. 24 기업분석 (5619/매수) 디스플레이 상반기 부진은 하반기에 보상된다 투자의견 매수 유지, 목표주가 7,원으로 13% 하향 조정 에 대한 투자의견을 매수로 유지하지만 목표주가는 7,원으로 13% 하향 조정한다. 목표주가를 하향 조정하는 이유는 삼성디스플레이와 SMD 합병에 따른 발주 지 연으로 12~13년 EPS를 각각 13%, 18% 하향

More information

Microsoft Word - 120125_반도체-최종

Microsoft Word - 120125_반도체-최종 산 업 분 석 반도체 Overweight (Maintain) 212.1.25 국내 반도체 산업, 2차 중흥기 진입 메모리 반도체 산업에서 국내업체의 승자독식, 비메모리 반도체에서 삼성전자 Sys. LSI 사업부의 Top Class로 부상, 그 동안 약세를 면치 못했던 메모리 반도체의 본격적인 상승세로 전환 등으로 국내 반도체 산업은 2차 중흥기로 진입 예상.

More information

디오적정주가 Valuation ( 단위 : 원, X, %) 216 예상 EPS 1,191 목표 PER 52.3 적정주가 62,277 목표주가 62, 현재주가 54, 상승여력 14.8 자료 : 디오, KB투자증권추정주 : Multiple 은피어평균 PER에 6% 할증 그

디오적정주가 Valuation ( 단위 : 원, X, %) 216 예상 EPS 1,191 목표 PER 52.3 적정주가 62,277 목표주가 62, 현재주가 54, 상승여력 14.8 자료 : 디오, KB투자증권추정주 : Multiple 은피어평균 PER에 6% 할증 그 디오 중국 합작 법인 설립으로 실적 가시성 확보 216.8.19 투자의견 BUY (유지) 목표주가 62,원 (상향) 14.8 현재가 (8/18, 원) 54, Consensus target price (원) 68, Difference from consensus (%) (8.8) 215 216E 217E 218E 매출액 (십억원) 69 97 122 152 영업이익

More information

<3230313520C8B8B0E8BFACB5B520BBEAC7D0C7F9B7C2B4DCC8B8B0E820B0E1BBEABCAD322E786C7378>

<3230313520C8B8B0E8BFACB5B520BBEAC7D0C7F9B7C2B4DCC8B8B0E820B0E1BBEABCAD322E786C7378> 2015회계연도 산학협력단회계 결 산 서 제12기 제11기 2015년 3월 1일부터 2016년 2월 29일까지 2014년 3월 1일부터 2015년 2월 28일까지 조선대학교 산학협력단 목 차 1. 재무상태표 2 2. 운영계산서 5 3. 현금흐름표 10 4. 운영차익처분계산서 15 5. 결산부속명세서 17 1. 재 무 상 태 표 2 [별지 제3호 서식] 조선대학교산학협력단

More information

Microsoft Word - 2016041323012959K_01_15.docx

Microsoft Word - 2016041323012959K_01_15.docx 아모레G (002790) 부진 계열사들의 턴어라운드 비-아모레퍼시픽 계열사들의 1Q16 매출액과 영업이익은 각각 전년대비 13%, 30% 증가할 것으로 추정 그 동안 부진했던 계열사들이 턴어라운드하며 아모레퍼시픽보다 빠른 영업이익 성장이 나타나기 시작 비-아모레퍼시픽 계열사들에 대해서는 기존 실적 전망 유지하나 아모레퍼시픽 실적전망 상향조정 반영하여 아모레G

More information

포괄손익계산서 (Statements of comprehensive income) Ⅵ. 중단영업이익 (Net income from discontinued operations ) Ⅶ. 당기순이익 (Net Income) , ,298 ( 대손준비금반영후

포괄손익계산서 (Statements of comprehensive income) Ⅵ. 중단영업이익 (Net income from discontinued operations ) Ⅶ. 당기순이익 (Net Income) , ,298 ( 대손준비금반영후 포괄손익계산서 (Statements of comprehensive income) 주식회사우리은행 Ⅰ. 영업이익 (Operating income) 1. 순이자이익 (Net interest income) (1) 이자수익 (Interest income) (2) 이자비용 (Interest expense) 2. 순수수료이익 (Net fees and commissions

More information

2014 년도사업계획적정성재검토보고서 차세대바이오그린 21 사업

2014 년도사업계획적정성재검토보고서 차세대바이오그린 21 사업 2014 년도사업계획적정성재검토보고서 차세대바이오그린 21 사업 목차 i 목 차 iv 목차 표목차 목차 v vi 목차 목차 vii 그림목차 viii 목차 요 약 요약 1 요 약 제 1 장사업개요및조사방법 4 차세대바이오그린 21 사업사업계획적정성재검토보고서 : * ( 15 ) 요약 5 : 6 차세대바이오그린 21 사업사업계획적정성재검토보고서 요약 7 8

More information

이슈코멘트 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI (

이슈코멘트 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI ( 이슈코멘트 2016. 10. 12 BUY(Maintain) 목표주가 : 1,950,000원주가 (10/11): 1,545,000원시가총액 : 2,430,119억원 삼성전자 (005930) 노트 7 판매중단, 반도체이익감소는 -1% 수준 Stock Data KOSPI (10/11) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information

메디젠휴먼케어 (236340) [코넥스 상장기업 보고서] 해외 수출 체결로 성장동력 확보 투자의견 Not Rated 목표주가 N/A n/a 현재가 (11/22, 원) 15,000 Consensus target price (원) n/a Differen

메디젠휴먼케어 (236340) [코넥스 상장기업 보고서] 해외 수출 체결로 성장동력 확보 투자의견 Not Rated 목표주가 N/A n/a 현재가 (11/22, 원) 15,000 Consensus target price (원) n/a Differen [코넥스 상장기업 보고서] 해외 수출 체결로 성장동력 확보 216.11.23 투자의견 Not Rated 목표주가 N/A 현재가 (11/22, 원) 15, Consensus target price (원) Difference from consensus (%) 213 214 215.1.6 1. 영업이익 (십억원) (1.1) (1.7) (1.4) 순이익 (십억원)

More information

Microsoft PowerPoint - 3Q09ptkor [호환 모드]

Microsoft PowerPoint - 3Q09ptkor [호환 모드] EARNINGS RELEASE For the quarter ended September 30, 2009 2009. 10. 28 Investor Relations 목차 페이지 제목 2 3 3 10 13 Disclaimers 3Q09 Actual Review 1. 본사실적 2. 연결손익요약 3. Appendix - 1 - Disclaimers 본실적은본사기준및연결실적에대한추정치이며,

More information

실적 Preview BUY(Maintain) 목표주가 : 1,950,000원주가 (9/5): 1,606,000원시가총액 : 2,546,326억원 삼성전자 (005930) 부품사업 ( 반도체, DP) 의실적증가세지속 Stock Data KOSPI (9

실적 Preview BUY(Maintain) 목표주가 : 1,950,000원주가 (9/5): 1,606,000원시가총액 : 2,546,326억원 삼성전자 (005930) 부품사업 ( 반도체, DP) 의실적증가세지속 Stock Data KOSPI (9 실적 Preview 2016. 9. 6 BUY(Maintain) 목표주가 : 1,950,000원주가 (9/5): 1,606,000원시가총액 : 2,546,326억원 삼성전자 (005930) 부품사업 ( 반도체, DP) 의실적증가세지속 Stock Data KOSPI (9/5) 반도체 / 디스플레이 Analyst 박유악 02) 3787-5063 yuak.pak@kiwoom.com

More information

유림0925

유림0925 ISO 9001 : 2001 / ICS 9001 : 2001 인증기업 www.yulim1.com 대구광역시 달서구 대천동 707번지 Tel.(053)583-7575 Fax.(053)583-7585 www.yulim1.com 세계 속 우리의 기술로 만들어가는 희망의 내일 모두가 인정하는 기술, 세상을 바꾸는 기업철학 유림테크는 머물러 있지 않은 열정과 도전정신으로

More information

<312E20C0AFC0CFC4B3B5E55F5352444320C0FCC0DAB1E2C6C720B1B8B8C5BBE7BEE7BCAD2E687770>

<312E20C0AFC0CFC4B3B5E55F5352444320C0FCC0DAB1E2C6C720B1B8B8C5BBE7BEE7BCAD2E687770> 페이지 2 / 6 첨부 1. 공급품 목록 및 납기일정 번호 품명 모델명/사양 Vendor 단위 수량 납기 비고 1 (샘플기판) 6Layer, FR-4, 1.6T, 1온스, 2 (샘플기판) 3 (샘플기판) 4 (샘플기판) 5 (샘플기판) FRONT PANEL BOARD 3종 1. 샘플기판은 Board 별 성능시험용 2. 샘플 기판 후 Board 별 육안점검 및

More information

넥센타이어 원가하락 속에서, 비용통제 성공으로 사상최대 영업이익 (002350) 투자의견 BUY (유지) 목표주가 17,000원 (유지) 4Q15 매출액 4.4% YoY 증가한 4,561억원으로 추정치 수준 원재료 가격 하락으로 매출총이익률 0.8%

넥센타이어 원가하락 속에서, 비용통제 성공으로 사상최대 영업이익 (002350) 투자의견 BUY (유지) 목표주가 17,000원 (유지) 4Q15 매출액 4.4% YoY 증가한 4,561억원으로 추정치 수준 원재료 가격 하락으로 매출총이익률 0.8% 원가하락 속에서, 비용통제 성공으로 사상최대 영업이익 216.2.3 투자의견 BUY (유지) 목표주가 17,원 (유지) 4Q15 매출액 4.4% YoY 증가한 4,561억원으로 추정치 수준 원재료 가격 하락으로 매출총이익률.8%p YoY 개선된 34.8% 비용통제 성공, 영업이익률 1.6%p YoY 개선된 14.5%로 사상최대 북미 매출액 26.1% YoY

More information

'00 지역별분석.PDF

'00 지역별분석.PDF . 1., 53,569 18.4%, (18.3% ), (7.6% ), (7.4% ). 2000 ( :,, % ) ( ) 2,440,992 53,569 748 74,399 3,537 6.6 43 5,875 812,369 14,893 334 20,297 1,316 8.8 55 2,099 690,726 15,562 289 20,591 2,048 13.2 40 3,267

More information

Highlights

Highlights 215 년 2 월 25 일 (3364) 삼성전자비메모리경쟁력회복의최대수혜 매수 ( 유지 ) 주가 (2 월 24 일 ) 1,15 원 목표주가 13, 원 ( 상향 ) 상승여력 28.1% 김영찬 (2) 3772-1595 youngkim@shinhan.com 김민지 (2) 3772-159 minji.kim@shinhan.com KOSPI 1,976.12p KOSDAQ

More information

한화테크윈 1분기 실적 요약 1Q15 2Q15 3Q15 4Q15 1Q16P QoQ YoY 키움증권 차이 추정치 (실제/추정) 매출액 6,22 6,72 6,48 7,56 6,426-15.% 6.7% 6,77 5.7% 항공방산 3,592 4,24 4,373 5,495 4,

한화테크윈 1분기 실적 요약 1Q15 2Q15 3Q15 4Q15 1Q16P QoQ YoY 키움증권 차이 추정치 (실제/추정) 매출액 6,22 6,72 6,48 7,56 6,426-15.% 6.7% 6,77 5.7% 항공방산 3,592 4,24 4,373 5,495 4, 실적 Review 216. 5. 3 BUY(Maintain) 목표주가: 56,원(상향) 주가(5/2): 43,5원 시가총액: 23,112억원 한화테크윈 (1245) 체질 개선 효과 기대 이상 Stock Data KOSPI (5/2) 전기전자/가전 Analyst 김지산 2) 3787-4862 jisan@kiwoom.com 1,978.15pt 52 주 주가동향

More information

조사보고서 구조화금융관점에서본금융위기 분석및시사점

조사보고서 구조화금융관점에서본금융위기 분석및시사점 조사보고서 2009-8 구조화금융관점에서본금융위기 분석및시사점 Ⅰ. 서론 Ⅱ. 구조화금융의미시적시장구조 2 조사보고서 2009-08 요약 3 Ⅲ. 서브프라임위기의현황과분석 4 조사보고서 2009-08 Ⅳ. 서브프라임위기의원인및특징 요약 5 6 조사보고서 2009-08 Ⅴ. 금융위기의파급경로 Ⅵ. 금융위기극복을위한정책대응 요약 7 8 조사보고서 2009-08

More information

Microsoft PowerPoint - 4Q09_KOR

Microsoft PowerPoint - 4Q09_KOR EARNINGS RELEASE 2009 년 4 분기및 2009 회계연도 2010. 2. 11 Investor Relations 목차 페이지 제목 2 3 Disclaimers 4Q09 & FY2009 Actual Review 3 1. 본사실적요약 15 2. 2010년가이던스 16 3. 연결실적요약 19 4. Appendix 1 Disclaimers 본실적은본사기준및연결실적에대한추정치이며,

More information

0904fc52803f4757

0904fc52803f4757 212. 5. 16 기업분석 덕산하이메탈 (7736/매수) 전자재료 잘나가는 OLED와 스마트폰의 중심에 서다 1Q12 Review: 수익성 측면에서 돋보였던 1분기 조우형 2-768-436 will.cho@dwsec.com 덕산하이메탈의 1분기 매출액은 325억원(+39.6% YoY), 영업이익은 92억원(+62.2% YoY, OPM 28.2%)으로 비수기임에도

More information

SK텔레콤 배당과 밸류에이션 매력은 여전히 유효 (017670) 투자의견 BUY (유지) 목표주가 270,000원 (유지) 28.3 현재가 (04/28, 원) 210,500 Consensus target price (원) 300,000 Differe

SK텔레콤 배당과 밸류에이션 매력은 여전히 유효 (017670) 투자의견 BUY (유지) 목표주가 270,000원 (유지) 28.3 현재가 (04/28, 원) 210,500 Consensus target price (원) 300,000 Differe SK텔레콤 배당과 밸류에이션 매력은 여전히 유효 2016.04.29 투자의견 (유지) 목표주가 270,000원 (유지) 28.3 현재가 (04/28, 원) 210,500 Consensus target price (원) 300,000 Difference from consensus (%) (10.0) 2015 2016E 2017E 2018E 17,137 17,236

More information

CJ 대한통운 Q15 실적분석 Q15 실적및각종비교 ( 단위 : 십억원, %) Q15P 전년동기대비직전분기대비컨센서스대비기존추정대비 Q1 YoY % 3Q15 QoQ % 컨센서스 YoY % Q15 YoY % 매출액 1,33 1,31. 1, 5.3 1,3 (.) 1,33

CJ 대한통운 Q15 실적분석 Q15 실적및각종비교 ( 단위 : 십억원, %) Q15P 전년동기대비직전분기대비컨센서스대비기존추정대비 Q1 YoY % 3Q15 QoQ % 컨센서스 YoY % Q15 YoY % 매출액 1,33 1,31. 1, 5.3 1,3 (.) 1,33 불안정한 비용은 빠른 성장의 부산물 1..5 투자의견 (유지) 목표주가 5,원 (유지) Q15 잠정 영업이익 억원으로 기대치 큰 폭 하회 사업량 급증에 따른 비용증가와 일회성 손실 요인 발생 1년 영업이익 전망은 하향 조정하지만, 장기성장성은 유효 Q15 잠정 영업이익 억원 기대치 크게 하회 Upside / Downside (%) 13. 현재가 (/, 원)

More information

Highlights

Highlights 2014년 11월 24일 (041510) 4Q14 사상 최대 분기 실적 전망 매수 (유지) 주가 (11 월 21 일) 목표주가 33,150 원 원 (유지) 3분기 별도기준 매출액 476억원(+3% YoY), 영업이익 90억원(-24% YoY) 기록 4분기 별도기준 매출액 548억원(+15% QoQ), 영업이익 115억원(+28% QoQ) 예상 투자의견 매수,

More information

Microsoft Word - I001_UNIT_ _ doc

Microsoft Word - I001_UNIT_ _ doc 2014-7-10 통신서비스 2Q14 Preview: 전기대비개선에도기대치에는소폭하회 2Q 통신서비스업체 : 시장기대치에는소폭하회전망 비중확대 ( 유지 ) Analyst 최관순 ks1.choi@sk.com +82-3773-8812 통신서비스 업체는 분기 사상 유례없는 번호이동 시장의 경쟁 격화로 수익성 악화를 경험 이후 분기 수익성 개선이 가능할 것으로 예상된다

More information