DBPIA-NURIMEDIA

Size: px
Start display at page:

Download "DBPIA-NURIMEDIA"

Transcription

1 114 IEEE 1500 표준기반의효율적인프로그램가능한메모리 BIST 박영규외 논문 IEEE 1500 표준기반의 효율적인프로그램가능한메모리 BIST ( IEEE std based an Efficient Programmable Memory BIST ) 박영규 *, 최인혁 *, 강성호 ** * (Youngkyu Park, Inhyuk Choi, and Sungho Kang ) 요 약 Systems-On-Chips(SoC) 에서내장메모리가차지하는비중은비약적으로증가하여전체트랜지스터수의 80%~90% 를차지하고있어, SoC 에서내장된메모리에대한테스트중요성이증가하고있다. 본논문은다양한테스트알고리즘을지원하는 IEEE 1500 래퍼기반의프로그램가능한메모리내장자체테스트 (PMBIST) 구조를제안한다. 제안하는 PMBIST 는 March 알고리즘및 Walking, Galloping 과같은 non-march 알고리즘을지원하여높은 flexibility, programmability 및고장검출률을보장한다. PMBIST 는최적화된프로그램명령어와작은프로그램메모리에의해최적의하드웨어오버헤드를가진다. 또한제안된고장정보처리기술은수리와고장진단을위해 2 개의진단방법을효과적으로지원하여메모리의수율향상을보장한다. Abstract As the weight of embedded memory within Systems-On-Chips(SoC) rapidly increases to 80-90% of the number of total transistors, the importance of testing embedded memory in SoC increases. This paper proposes IEEE std wrapper based Programmable Memory Built-In Self-Test(PMBIST) architecture which can support various kinds of test algorithm. The proposed PMBIST guarantees high flexibility, programmability and fault coverage using not only March algorithms but also non-march algorithms such as Walking and Galloping. The PMBIST has an optimal hardware overhead by an optimum program instruction set and a smaller program memory. Furthermore, the proposed fault information processing scheme guarantees improvement of the memory yield by effectively supporting three types of the diagnostic methods for repair and diagnosis. Keywords : Memory BIST, IEEE std. 1500, Test Algorithm, Diagnostic Ⅰ. 서론 반도체공정기술과설계기술이발달함에따라많은 * 학생회원, ** 평생회원, 연세대학교전기전자공학과 (Department of Electrical and Electronic Engineering, Yonsei University) 본연구는지식경제부및정보통신산업진흥원의 IT융합고급인력과정지원사업의연구결과로수행되었음 (NIPA-2013-H ) 접수일자 : 2012년11월8일, 수정완료일 : 2013년1월20일 수의 Intellectual Property(IP) 코어들이 System on Chip(SoC) 화되고있다. 전체 SoC의복잡도및트랜지스터는 Moor의법칙에따라기하급수적으로증가하고있고, 내장메모리가차지하는비중이급속이증가하여전체트랜지스터수의 80%~90% 를차지해 SoC에서내장메모리에대한테스트중요성이점점증가하고있다. 내장메모리의비중이증가하는반면에다양한크기의메모리들이사용되어테스트에많이시간이필요하게되었다. 따라서 Automatic Test Equipment(ATE) (404)

2 2013 년 2 월전자공학회논문지제 50 권제 2 호 115 Journal of The Institute of Electronics Engineers of Korea Vol. 50, NO. 2, February 2013 를이용하여테스트하는방법은많은테스트시간이필요하며, at-speed 테스트가불가능하다. 현재내장메모리의테스트는고가의외부테스트장비를사용하지않고, 디바이스별로자체적인테스트를수행하여전체시스템의테스트복잡도를크게줄여빠른시간에테스트를수행할수있는내장자체테스트 (BIST: Built-In Self-Test) 기법을많이사용하고있다 [1][2]. 또한메모리 BIST 기법은테스트를위하여수많은포트가필요하지않으며, 메모리의동작속도로테스트가가능하여 at-speed 테스트가가능하다 [3]. 그러나메모리 BIST 기법은제한적인테스트알고리즘만을지원하여, flexibility가낮고고장검출률이제한적이라는단점을가진다. 따라서메모리 BIST의단점을보완한프로그램가능한내장자체테스트 (PMBIST: Programmable Memory Built-In Self-Test) 기법이제안되었다 [4]. PMBIST 는크게 micro-code를이용한방식과 Finite State Machine(FSM) 을이용한방식으로나누어진다. FSM을이용한방식은기존메모리 BIST에비해다양한알고리즘을지원할수있지만, March 기반의알고리즘만지원이가능하여 flexibility와고장검출률이제한적이다. Micro-code를이용한방식은알고리즘을구현하기위하여명령어를사용하며, 명령어구조에따라다양한테스트알고리즘을보다쉽게지원할수있다는장점을가진다. 하지만 non-march 알고리즘과같은복잡한알고리즘을구현하기위해서는회로의복잡도가커져하드웨어오버헤드가증가한다는단점을가진다. 또한 SoC의내장된코어에대한접근방법및테스트절차, 테스트패턴의입력및관측방법에대한테스트인터페이스로 IEEE 1500 표준과시스템수준에서테스트설계기술로 IEEE 표준이있다 [5 6]. IEEE 1500과 IEEE 을사용하여 SoC 내부테스트를위하여효율적인제어가가능하다. 본논문에서는내장된메모리를효율적으로테스트하기위해다양한알고리즘의적용이가능하고다양한고장정보를제공하는 IEEE 1500 표준기반의 Programmable Memory BIST (PMBIST) 구조를제안한다. IEEE 1500 표준을사용하여 PMBIST 를제어하고, 다수의메모리를하나의 PMBIST 로테스트가가능한구조이다. 그리고 March 기반의모든알고리즘과 Galloping, Walking 등의 non-march 알고리즘및 data retention 테스트를지원하여 flexibility와고장검출률이높고, 내장메모리의높은신뢰성을확보할수있다. 또한 repair와고장진단을위한고장정보를제공하여높은수율을확보할수있다. 그리고다양한테스트패턴을생성하기위한최적의프로그램명령어구조및 IEEE 1500 표준등을통하여최소의하드웨어오버헤드를가진다. Ⅱ. 제안하는 Programmable Memory BIST 본논문은기존에제안하였던 BIST [7] 를바탕으로다양한테스트알고리즘지원이가능한 micro code 방식의프로그램가능한 BIST (PMBIST) 구조를제안한다. 제안하는 PMBIST 는외부로부터 IEEE 1500 표준을이용하여명령어로프로그램된알고리즘을입력받아내부의프로그램메모리에저장하고, 이프로그램명령어를사용하여알고리즘을구현한다. 그리고 IEEE 1500 래퍼를이용하여다수의내장된메모리를하나의 PMBIST 로테스트가가능하다. 또한 March 기반의모든알고리즘과 Galloping, Walking 등의 non-march 알고리즘및 data retention 테스트를효과적으로지원하기위한프로그램명령어구조를제안한다. March 기반의테스트알고리즘으로검출할수있는고장이제한적이기때문에높은신뢰성을확보하기위해서는 non-march 알고리즘및 data retention 테스트등을지원할수있어야한다. 제안하는프로그램명령어는 9 bits의사이즈로구성되며, March 및 non-march 테스트알고리즘등을최소의 bit으로구현할수있다. 제안하는 PMBIST 는 repair 및고장진단을위해다양한고장정보를제공하는 2개모드를지원하는고장정보처리기를제안한다. 고장정보처리기는메모리수리를위한고장정보 (FDR: fault data for repair) 모드와메모리고장진단을위한고장정보 (FDD: fault data for diagnosis) 모드를지원한다. FDR은메모리를테스트하여고장이검출되는메모리셀의주소정보를제공한다. FDD는고장진단을위해자세한고장정보를제공하는모드이고, 고장셀의주소와고장을검출한패턴의정보를제공한다. 고장정보처리기의고장정보는 IEEE 1500 표준을이용하여외부로효과적으로내보낸다. 제안하는 PMBIST 는모든 March 기반알고리즘, (405)

3 116 IEEE 1500 표준기반의효율적인프로그램가능한메모리 BIST 박영규외 non-march 알고리즘및 data retention 테스트지원하여높은 flexibility와고장검출률을확보하였다. 제안된프로그램명령어구조는다양한테스트패턴을최소의 bit으로효과적으로생성이가능하여하드웨어오버헤드를최소화하였다. 그리고 IEEE 1500 래퍼사용하여알고리즘을구현한프로그램명령어를효율적으로입력하고, 메모리테스트를통해얻은고장정보를외부로내보낸다. 또한 IEEE TAP을사용하여 IEEE 1500 래퍼와 PMBIST 를효과적으로제어한다. 1. 프로그램명령어구조제안하는프로그램명령어는 9 bits의사이즈로 March 및 non-march 테스트알고리즘을효과적으로구현할수있는최적의구조를가진다. 또한 data retention 테스트도지원한다. 그리고복잡한패턴을효과적으로구현하기위하여 branch 레지스터를이용하여멀티루프를지원하고, Reverse Data Rerun Branch (RDRB) 옵션을사용하여최소의 bit으로알고리즘을구현한다. 따라서하드웨어오버헤드를최소화할수있다. 그림 1은프로그램명령어구조를보여주며, 각 bit 을간단히살펴보면다음과같다. Inst[8:7] 은명령어제어부분으로프로그램명령어의동작상태를지장한다. Increment는현재의명령어를실행한후다음명령어를실행하도록한다. Branch는 branch 레지스터에지정된명령어로점프하여실행한다. RDRB는 branch 레지스터에지정된명령어로점프를하여반전된데이터값으로테스트프로그램을다시실행하도록한다. Pause는 data retention 고장을검출하기위해외부로부터제어되는시간동안명령어를붙잡고대기하는명령이다. 명령어에서 Branch와 RDRB 는 branch 레지스터값을사용하여점프를한다. Inst[6] 은주소증 / 감제어부분으로 March 알고리즘및 non-march 알고리즘의각 sequence의주소증 / 감방향을지정한다. Inst [5] 는백그라운드데이터제어부분으로백그라운드데이터를반전할것인지, 반전하지않을것인지를지정한다. Inst [4] 는메모리동작제어부분으로 March 및 non-march 알고리즘 sequence 내의데이터를읽기 / 쓰기동작을지정한다. Inst [3] 은카운터제어부분으로주소생성을위해 A 카운터와 B 카운터중에카운팅할카운터를선택한다. Inst[2:0] 는명령어옵션제어부분으로프로그램명령어의옵션을지정한다. #A, #B 옵션은카운터를증가시키지않고그대로유지하라는옵션이고, +A, +B 옵션은 Inst [3] 의카운터제어와동시에다른카운터의값을증가시키는옵션이다. 그리고 A B, B A 옵션은카운터의값을이용하는옵션이다. 프로그램명령어구조는 March 및 non-march 알고리즘을구현하는데최소의명령어를사용한다. 테스트알고리즘에서데이터값을반전하여동일한읽기와쓰기동작들이연속적으로사용되는경우가많다. 이런경우에제안하는프로그램명령어는 RDRB 옵션을사용한명령어로구현할수있다. 예를들어, (r1, w0, r0), (r0, w1, r1) 와같이 6개의 element로구성된알고리즘의경우를보면, 기존에제안된명령어들은최소 8개의명령어를사용하여구현한다. 하지만제안하는 그림 2. Galloping 알고리즘의프로그램명령어구현 Fig. 2. Program instruction implementation of Galloping algorithm. 그림 1. 프로그램명령어구조 Fig. 1. Program instruction architecture. (406)

4 2013 년 2 월전자공학회논문지제 50 권제 2 호 117 Journal of The Institute of Electronics Engineers of Korea Vol. 50, NO. 2, February 2013 프로그램명령어를사용하면, (r1, w0, r0) 은 3개의명령어로구현을하고, (r0, w1, r1) 은 RDRB 옵션을사용한 1개의명령어로구현한다. 따라서기존의명령어와비교하여 2개의명령어를적게사용한총 4개의명령어로구현이가능하다. 14개 element로구성된 March C+ 알고리즘은 2개의 RDBranch 옵션을사용하여 10개의명령어로구현된다. 따라서제안하는알고리즘명령어는최소의명령어로테스트알고리즘을구현할수있다. 그림 2는 non-march 알고리즘중에 Galloping 알고리즘을제안한프로그램명령어 7개를사용하여구현한예제이다. 2. PMBIST 구조제안하는 PMBIST 는알고리즘을구현한프로그램명령어를외부로부터입력받아패턴을생성하는구조이다. 외부로부터입력받은프로그램은프로그램메모리에저장하며, 프로그램메모리의명령어를사용하여패턴을생성한다. 그림 2는제안하는 IEEE 1500 기반의 PMBIST 구조이다. 그림 2를살펴보면, 크게내장된메모리를테스트하는 PMBIST와 PMBIST 를제어하기위한 IEEE 1500 wrapper로구성된다. PMBIST 는 TAP controller에서 Wrapper Instruction Register (WIR) 의명령어를사용하여제어한다. 그림 2의 PMBIST 의구성을살펴보면, 알고리즘을효과적으로구현하기위해입력받은프로그램을저장하는프로그램메모리와테스트패턴을생성하기위한프로그램메모리를제어하는프로그램제어기가있다. 또한프로그램메모리의프로그램명령어를해독하는프로그램디코더와디코더의신호를받아메모리제어신호, 데이터및주소패턴을생성하는테스트패턴생성기가있다. 테스트패턴생성기는제어신호생성기, 데이터생성기및주소생성기로구성된다. 그리고테스트결과값을비교하여고장의유무를판별하는비교기와비교기의결과를사용하여 repair와고장진단을위한고장정보를생성하는고장정보처리기가있다. 마지막으로외부로부터테스트알고리즘을입력받는프로그램모드와테스트패턴을생성하는테스트모드및고장정보생성모드의 PMBIST 동작을제어하는 BIST 제어기로구성된다. PMBIST는프로그램메모리에프로그램명령어를최대 9개를저장할수있다. 알고리즘을구현하기위해서는다수의명령어를사용하지만, 패턴을생성하는데한번에최대 9개이상의명령어를사용하지않기때문 그림 3. IEEE 1500 기반 PMBIST 구조 Fig. 3. IEEE 1500 based the PMBIST architecture. (407)

5 118 IEEE 1500 표준기반의효율적인프로그램가능한메모리 BIST 박영규외 이다. March C+ 알고리즘 (14N) 을보면, March C+ 는 6 개의 march element로구성된다. 6개의 march element 를각각구현할때, 3개이상의명령어가필요한 march element는없다. non-march 알고리즘도한번에 8개이상의명령어를사용하지않는다. 따라서프로그램명령어의사이즈를최적화하여하드웨어오버헤드를최소화하였다. 그리고테스트패턴생성기에서주소생성기는복잡한주소생성을위해서 2개의카운터 (A, B 카운터 ) 를사용하여이중루프를지원한다. 그림 2에서 PMBIST 의입출력포트와신호들을간단히살펴보면, CLK, BRS, MTestH, Pause Time Select(PTS), Program Loading Signal (PLS), Program Instruction Download(PID), Diagnostic information Mode Select(DMS), Fault Information Out(FIO), BIST Finish Signal(BFS) 등이있다. PLS와 PID는알고리즘을구현한프로그램명령어를입력받는신호와포트이다. PLS는외부로부터프로그램명령어를입력받아명령어메모리에저장하는모드를지정하는입력신호이고, PID는 IEEE 1500의 PI를통해프로그램명령어를 PMBIST 로입력받는포트이다. MTestH 는 PMBIST가테스트패턴을생성하여메모리를테스트하는테스트모드를시작하라는입력신호이다. Wrapper Parallel Input(WPI) 로테스트패턴을인가하여테스트를수행하며, Wrapper Parallel Output(WPO) 를통해테스트결과값을가져온다. PTS는 data retention 고장을검출하기위해외부로부터 pause 시간을입력받는포트이다. 프로그램명령어에서 Pause 명령이실행되고, 외부로부터 PTS 신호가들어오는동안에는명령어실행이정지되고 PTS 신호가끝나면다음명령어를실행한다. 그리고 DMS와 FIO는테스트결과를사용하여고장정보를생성하는모드를선택하고출력하는신호와포트이다. DMS 입력에의해고장정보의종류를선택 하고, FIO는 PMBIST 의테스트결과인고장정보를 IEEE 1500의 PO를통해외부로출력한다. BFS은 PMBIST 의동작이끝났음을알려주는출력신호이다. 그림 4는고장정보처리기를보여준다. 고장정보처리기는비교기의테스트결과와테스트패턴생성기의주소생성기그리고프로그램제어기의정보를사용하여고장정보를생성한다. 고장정보처리기는메모리수리를위한고장정보 (FDR: fault data for repair) 와메모리고장진단을위한고장정보 (FDD: fault data for diagnosis) 로 2개타입의모드를지원한다. FDR은 redundancy analysis를위해메모리의고장주소정보를제공하는모드이다. FDD는고장진단을위하여고장데이터정보와고장주소및고장을검출한패턴의정보를제공하는모드이다. 그림 5는 FDR과 FDD의고장정보의포맷을보여준다. IEEE 1500 래퍼는크게래퍼명령레지스터 (WIR: Wrapper Instruction Register), 래퍼바이패스레지스터 (WBY: Wrapper Bypass Register) 및래퍼경계레지스터 (WBR: Wrapper Boundary Register) 로구성된다. 그리고 IEEE 의 TAP 제어기와같은 TAP 제어기를사용하여다양한테스트명령어를정의해 IEEE 1500 기반 PMBIST 를제어한다. IEEE 1500 기반 PMBIST 는알고리즘을구현한프로그램명령어를래퍼직렬입력 (WSI: Wrapper Serial Input) 과 WBR의직렬및병렬도메인을사용하여 PMBIST에효과적으로입력하고, BIST를동작을제어하여내장된메모리의테스트를수행하게한다. 그리고래퍼직렬출력 (WSO: Wrapper Serial Output) 과 WBR 의직렬및병렬도메인을사용하여고장정보를외부로출력한다. 그리고 TAP 제어기에서 WIR의명령어를사용하여 PMBIST 를제어한다. WIR의 Shift_In 과 Program_Load 명령을사용하여 WSI으로 WBR에알고리즘을구현한프로그램을업데 그림 4. 고장정보처리기 Fig. 4. The fault information processing module. 그림 5. 고장정보포멧 Fig. 5. The fault information format. (408)

6 2013 년 2 월전자공학회논문지제 50 권제 2 호 119 Journal of The Institute of Electronics Engineers of Korea Vol. 50, NO. 2, February 2013 이트하고, PMBIST 로 parallel하게입력을한다. Run_ BIST 명령으로 PMBIST를실행하여내장메모리를테스트한다. 그리고 PMBIST 의테스트수행이끝나면 Fault_Update와 Shift_Out 명령을사용하여 PMBIST로부터 WBR에고장정보를업데이트하여 WSO으로출력한다. Pause_Time 는 data retention 고장을검출할때사용하는명령이고, Bypass는다수의메모리를테스트할때사용하는명령이다. Ⅲ. 검증및성능평가 본논문에서제안하는 PMBIST 구조검증을위하여단일포트메모리 (16.3K 16) 를사용하여검증을하였다. 7 bits 열주소와 7 bits 행주소를가지며, 16 bits 데이터워드를가지는단일포트 SRAM을사용하였다. PMBIST 의검증을위해 March C-(10N), March SS (22N) 알고리즘 [8] 과 non-march 알고리즘인 Galloping 알고리즘을 Mentor Graphics 의 Modelsim 을이용하여 functional 시뮬레이션을통하여동작검증하였다. 표 1 표 1. 알고리즘별명령어개수및 bit Table 1. Instruction number and bit sizes for the algorithms. 알고리즘 명령어개수 명령어 bit March C- (10N) 8 72 bits(8 9 bits) March SS (22N) bits(14 9 bits) Galloping 7 63 bits(7 9 bits) 은제안한 9 bits의프로그램명령어를사용하여알고리즘을구현하는데필요한명령어개수와명령어 bit를보여준다. 제안하는알고리즘명령어는최소의명령어로테스트알고리즘을구현할수있는최적의구조이다. 따라서 March C-와 March SS 알고리즘은 8개와 14개의명령어를사용하여 72 bits과 126bits의명령어로구현된다. 또한 Galloping 알고리즘은 7개의명령어로구현이가능하여최소의 bit을사용하여구현된다. 또한 Synopsys 의 Design Compiler 를사용해 PMBIST 구조를합성하여하드웨어오버헤드를검증하였다. 합성에는 TSMC 0.13μm 공정라이브러리를사용하였다. PMBIST를합성한결과, 2-input nand gate 를기준으로하드웨어오버헤드는 5,083 gates이고, 최대동작속도는약 300MHz 이다. 표 2는기존의 PMBIST와제안하는 PMBIST 구조를비교한것이다. 기존의 PMBIST 와제안하는 PMBIST 구조를지원하는테스트알고리즘, 명령어사이즈, March C- 알고리즘과 Galloping 알고리즘을구현하는데필요한명령어 bit 그리고하드웨어오버헤드등으로비교하였다. 또한 repair 및고장진단을위한고장정보를지원하는지비교하였다. [9] 는 IEEE 1500을사용하는 PMBIST이다. 이구조는 11개의 processor instruction set을 4bits의명령어코드로지정하여알고리즘을구현하며, 백그라운드데이터를지정하는데사용하는명령, 주소를지정하는명령및루프를위해특정한주소로점프를지정하는명령등으로구성된다. 그리고 March 알고리즘들만지원 표 2. PMBIST 성능비교 Table 2. Performance comparison of the PMBIST. [9] [10] [11] PMBIST March based 테스트알고리즘 Y Y Y Y Non-March 테스트알고리즘 N Y Y Y Data Retention Test N N N Y Flexibility Low Medium Medium-High High Programmability Medium Medium-High Medium-High High Multi-loop N Y Y Y 고장정보처리모듈 N Y N Y 고장정보분석효율 - Medium - High 명령어사이즈 (bits) March C- 알고리즘의명령어 bit (bits) Galloping 알고리즘의명령어 bit (bits) 하드웨어오버헤드 (gates) 7.9K 13.6K 6.4K 5.1K (409)

7 120 IEEE 1500 표준기반의효율적인프로그램가능한메모리 BIST 박영규외 할수있어제한적인 flexibility를가진다. [10] 와 [11] 은 micro-code 방식의 PMBIST로모든 March 알고리즘과 non-march 알고리즘의일부를지원하며, multi-loop 를지원하여복잡한패턴을생성한다. 하지만 data retention 테스트는지원하지못한다. [10] 는 March C- 알고리즘을구현하는데 152 bits의많은명령어가필요하며, 하드웨어오버헤드가매우크다는단점을가진다. 그리고고장정보를제공하는 1.2K gates의진단로직을포함하고있지만, 고장메모리의셀주소와 fail-map 데이터만을고장정보로제공하여정확한고장분석이불가능하다. [11] 은 March C- 알고리즘을구현하는데 90 bits의명령어가필요하지만, 고장정보를지원하는고장진단로직이없고고장의유무만을제공한다. 제안하는 IEEE 1500 기반 PMBIST 는다양한테스트알고리즘을지원하여높은고장검출률을가지며, RDRB 옵션등으로최적화된프로그램명령어를사용하여최소의 bit으로알고리즘을구현할수있다. March C- 알고리즘은 8개의프로그램명령어로구현이가능하여총 72 bits (8 9bits) 가필요하다. 이것은기존의구조들보다 18 80bits 적은명령어로구현된다. PMBIST 는 repair와고장진단을위해 FDR과 FDD 모드를통해다양한고장정보를지원하는효율적인고장정보처리기를가지고있다. 고장정보처리기는다양한고장정보를 IEEE 1500의인터페이스를사용해외부의 ATE에효과적으로제공하여높은메모리수율을보장한다. IEEE 1500 래퍼사용하여알고리즘을구현한프로그램명령어를효율적으로입력하고, 메모리테스트를통해얻은고장정보를외부로내보낸다. 또한하드웨어오버헤드도기존에제안된구조들보다 1.3K 8.5K gates의차이를보인다. 따라서제안하는 PMBIST 는최적의프로그램명령어로다양한테스트알고리즘을지원하여 programmability 와 flexibility가높고, 최소의하드웨어오버헤드를가진다. Ⅳ. 결론최근에 SoC 환경이급속히늘어가면서상당부분의비중을차지하고있는내장된메모리의테스트에대한많은연구가진행되고있다. IEEE 1500 기반 PMBIST 는다양한테스트알고리즘을지원하여높은고장검출 률을가지며, 프로그램명령어를이용하여사용자가사용자에의해정의되는알고리즘의프로그램이가능해높은 flexibility와 programmability를가진다. 또한고장정보처리기는 repair와고장진단을위한다양한고장정보를효과적으로제공하여높은메모리수율을보장한다. 또한 IEEE 1500 래퍼를사용하여프로그램명령어의입력과고장정보의출력을효율적으로수행할수있다. 그리고 PMBIST 의프로그램메모리를최소화하고, 최적의프로그램명령어로최소의하드웨어오버헤드를가지는효과적인구조이다. 참고문헌 [1] A. van de Goor, C. Jung, S. Hamdioui, and H. Kukner, Generic, Orthogonal and Low-cost March Element based Memory BIST, Proceeding of IEEE ITC, pp. 1-10, [2] W. L. Wang, K. J. Lee, and J. F. Wang, An on-chip march pattern generator for testing embedded memory cores, IEEE Transactions on Very Large Scale Integration Systems, vol 9, Issue 5, pp , [3] Yamasaki, I. Suzuki, A. Kobayashi, K. Horie, Y. Kobayashi, H. Aoki, H. Hayashi, K. Tada, K. Tsutsumida, and K. Higeta, External memory BIST for system-in-package, Proceeding of International Test Conference, pp , Nov [4] A. W. Hakmi, H. J. Wunderlich, C. G. Zoellin, A. Glowatz, F. Hapke, J. Schloeffel, and L. Souef, Programmable deterministic Built-In Self-Test, Proceeding of IEEE International Test Conference, pp. 1-9, Oct [5] IEEE Computer Society, IEEE Standard Test Access Port and Boundary-Scan Architecture, IEEE Standards Board, Feb [6] IEEE Computer Society, IEEE Standard Testability Method for Embedded Core-based Integrated Circuits, IEEE Standards Board, Aug [7] Y. Park, Y. Lee, I. Choi, and S. Kang, IEEE std based Programmable Memory Built-In Self-Test(BIST) for Embedded Memory in SoC, Proceeding of Korea Test Conference, pp. C-3, Jun [8] S. Hamdioui, A. J. Van de Goor, and M. Rodgers, March SS: a test for all static simple RAM (410)

8 2013 년 2 월전자공학회논문지제 50 권제 2 호 121 Journal of The Institute of Electronics Engineers of Korea Vol. 50, NO. 2, February 2013 faults, Proceedings of IEEE International Workshop on Memory Technology, Design and Testing, pp , Jul [9] D. Appello, P. Bernardi, A. Fudoli, M. Rebaudengo, M.S. Reorda, V. Tancorre, and M. Violante, Exploiting Programmable BIST for the Diagnosis of Embedded Memory Cores, Proceeding of IEEE International Test Conference, pp , Oct [10] X. Du, N. Mukherjee, C. Hill, W-T. Cheng, and S. Reddy, A Field Programmable Memory BIST Architecture Supporting Algorithms with Multiple Nested Loops, Proceeding of IEEE Asian Test Symposium, pp , Nov [11] Y. Park, J. Park, T. Han, and S. Kang, An Effective Programmable Memory BIST for Embedded Memory, IEICE Transactions on Information and Systems, vol. E92-D, no. 12, pp , Dec 저자소개 박영규 ( 학생회원 ) 2004 년호서대학교전기공학과학사졸업 년연세대학교전기전자공학과석사졸업 년현재연세대학교전기전자공학과박사과정. < 주관심분야 : Memory test, BIST, DFT> 최인혁 ( 학생회원 ) 2009 년연세대학교전기공학과학사졸업 년현재연세대학교전기전자공학과석박사통합과정. < 주관심분야 : SoC 설계, DFT, DFD> 강성호 ( 평생회원 ) 1986 년서울대학교제어계측공학과학사졸업 년 The University of Texas, Austin 전기및컴퓨터공학과석사졸업 년 The University of Texas, Austin 전기및컴퓨터공학과박사졸업 년미국 Schlumberger Inc. 연구원 년 Motorola Inc. 선임연구원 년현재연세대학교전기전자공학과교수 < 주관심분야 : SoC 설계, SoC 테스트 > (411)

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2012 년 8 월전자공학회논문지제 49 권 SD 편제 8 호 55 Journal of The Institute of Electronics Engineers of Korea Vol. 49-SD, NO. 8, August 2012 논문 2012-49SD-8-9 이중포트메모리를위한효율적인프로그램가능한메모리 BIST (An Efficient Programmable

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2010 년 8 월전자공학회논문지제 47 권 SD 편제 8 호 29 논문 2010-47SD-8-5 내장된자체테스트를위한저전력테스트패턴생성기구조 (An Efficient Test Pattern Generator for Low Power BIST ) 김기철 *, 강성호 ** * (Kicheol Kim and Sungho Kang ) 요 약 본논문에서는내장된자체테스트기법

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 2012 년 9 월전자공학회논문지제 49 권제 9 호 237 논문 2012-49-9-26 비트맵메모리공유를통해 면적을크게줄인효율적인수리방법 (An Efficient Repair Method to Reduce Area Overhead by Sharing Bitmap Memory ) 조형준 *, 강성호 ** * (Hyungjun Cho and Sungho Kang

More information

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34

02 _ The 11th korea Test Conference The 11th korea Test Conference _ 03 03 04 06 08 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 34 The 11th Korea Test Conference June 29, 2010 TEL : (02) 313-3705 / FAX : (02) 363-8389 E-mail : info@koreatest.or.kr http://www.koreatest.or.kr 02 _ The 11th korea Test Conference The 11th korea Test Conference

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp

[ReadyToCameral]RUF¹öÆÛ(CSTA02-29).hwp RUF * (A Simple and Efficient Antialiasing Method with the RUF buffer) (, Byung-Uck Kim) (Yonsei Univ. Depth of Computer Science) (, Woo-Chan Park) (Yonsei Univ. Depth of Computer Science) (, Sung-Bong

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

정보기술응용학회 발표

정보기술응용학회 발표 , hsh@bhknuackr, trademark21@koreacom 1370, +82-53-950-5440 - 476 - :,, VOC,, CBML - Abstract -,, VOC VOC VOC - 477 - - 478 - Cost- Center [2] VOC VOC, ( ) VOC - 479 - IT [7] Knowledge / Information Management

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 907 913. http://dx.doi.org/10.5515/kjkiees.2015.26.10.907 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Prediction

More information

Microsoft Word - 36-김진규-논문_ doc

Microsoft Word - 36-김진규-논문_ doc Scan-Chain 과 IEEE 15 래퍼를이용한 SoC 지연고장테스트 Delay Fault Test using Scan-Chains and IEEE 15 Wrapper 김진규, 이현빈, 이준섭, 정태진, 박성주한양대학교컴퓨터공학과 {jkkim, bean, jslee, tjjung, parksj}@mslab.hanyang.ac.kr Abstract With

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

À¯Çõ Ãâ·Â

À¯Çõ Ãâ·Â Network Virtualization Techniques for Future Internet Services in cloud computing are based on network virtualization that provides both flexibility and network isolation. Network virtualization consists

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 27(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Dec.; 27(12), 1036 1043. http://dx.doi.org/10.5515/kjkiees.2016.27.12.1036 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

I

I I II III (C B ) (C L ) (HL) Min c ij x ij f i y i i H j H i H s.t. y i 1, k K, i W k C B C L p (HL) x ij y i, i H, k K i, j W k x ij y i {0,1}, i, j H. K W k k H K i i f i i d ij i j r ij i j c ij r ij

More information

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770>

<353420B1C7B9CCB6F52DC1F5B0ADC7F6BDC7C0BB20C0CCBFEBC7D120BEC6B5BFB1B3C0B0C7C1B7CEB1D7B7A52E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 2 pp. 866-871, 2012 http://dx.doi.org/10.5762/kais.2012.13.2.866 증강현실을 이용한 아동교육프로그램 모델제안 권미란 1*, 김정일 2 1 나사렛대학교 아동학과, 2 한세대학교 e-비즈니스학과

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp 보안공학연구논문지 Journal of Security Engineering Vol.11, No.4 (2014), pp.299-312 http://dx.doi.org/10.14257/jse.2014.08.03 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발 이강찬 1), 이승윤 2), 양희동 3), 박철우 4) Development of Service

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 876 884. http://dx.doi.org/10.5515/kjkiees.2015.26.10.876 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 26 년 4 월전자공학회논문지제 43 권 SD 편제 4 호 49 논문 26-43SD-4-7 효율적인고장진단을위한딕셔너리구조개발 (A New Dictionary Mechanism for Efficient Fault Diagnosis ) 김상욱 *, 김용준 **, 전성훈 **, 강성호 ** ( Sangwook Kim, Yongjoon Kim, Sunghoon Chun,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Mar.; 30(3), 223 228. http://dx.doi.org/10.5515/kjkiees.2019.30.3.223 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Analysis

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Mar.; 25(3), 304310. http://dx.doi.org/10.5515/kjkiees.2014.25.3.304 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

SchoolNet튜토리얼.PDF

SchoolNet튜토리얼.PDF Interoperability :,, Reusability: : Manageability : Accessibility :, LMS Durability : (Specifications), AICC (Aviation Industry CBT Committee) : 1988, /, LMS IMS : 1997EduCom NLII,,,,, ARIADNE (Alliance

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 8, Aug [3]. ±90,.,,,, 5,,., 0.01, 0.016, 99 %... 선형간섭

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 27, no. 8, Aug [3]. ±90,.,,,, 5,,., 0.01, 0.016, 99 %... 선형간섭 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Aug.; 27(8), 693700. http://dx.doi.org/10.5515/kjkiees.2016.27.8.693 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Design

More information

10 노지은.hwp

10 노지은.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2013 DEC.; 24(12), 1190 1197. http://dx.doi.org/10.5515/kjkiees.2013.24.12.1190 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

학습영역의 Taxonomy에 기초한 CD-ROM Title의 효과분석

학습영역의 Taxonomy에 기초한 CD-ROM Title의 효과분석 ,, Even the short history of the Web system, the techniques related to the Web system have b een developed rapidly. Yet, the quality of the Webbased application software has not improved. For this reason,

More information

Problem New Case RETRIEVE Learned Case Retrieved Cases New Case RETAIN Tested/ Repaired Case Case-Base REVISE Solved Case REUSE Aamodt, A. and Plaza, E. (1994). Case-based reasoning; Foundational

More information

<31325FB1E8B0E6BCBA2E687770>

<31325FB1E8B0E6BCBA2E687770> 88 / 한국전산유체공학회지 제15권, 제1호, pp.88-94, 2010. 3 관내 유동 해석을 위한 웹기반 자바 프로그램 개발 김 경 성, 1 박 종 천 *2 DEVELOPMENT OF WEB-BASED JAVA PROGRAM FOR NUMERICAL ANALYSIS OF PIPE FLOW K.S. Kim 1 and J.C. Park *2 In general,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 25(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Nov.; 25(11), 11351141. http://dx.doi.org/10.5515/kjkiees.2014.25.11.1135 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다

이 장에서 사용되는 MATLAB 명령어들은 비교적 복잡하므로 MATLAB 창에서 명령어를 직접 입력하지 않고 확장자가 m 인 text 파일을 작성하여 실행을 한다 이장에서사용되는 MATLAB 명령어들은비교적복잡하므로 MATLAB 창에서명령어를직접입력하지않고확장자가 m 인 text 파일을작성하여실행을한다. 즉, test.m 과같은 text 파일을만들어서 MATLAB 프로그램을작성한후실행을한다. 이와같이하면길고복잡한 MATLAB 프로그램을작성하여실행할수있고, 오류가발생하거나수정이필요한경우손쉽게수정하여실행할수있는장점이있으며,

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

±è¼ºÃ¶ Ãâ·Â-1

±è¼ºÃ¶ Ãâ·Â-1 Localization Algorithms Using Wireless Communication Systems For efficient Localization Based Services, development of accurate localization algorithm has to be preceded. In this paper, research trend

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

10 이지훈KICS2015-03-068.hwp

10 이지훈KICS2015-03-068.hwp 논문 15-40-05-10 The Journal of Korean Institute of Communications and Information Sciences '15-05 Vol.40 No.05 http://dx.doi.org/10.7840/kics.2015.40.5.851 가로등 인프라를 활용한 안전한 스마트 방범 시스템 차 정 화, 이 주 용 *, 이

More information

05 목차(페이지 1,2).hwp

05 목차(페이지 1,2).hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Oct.; 25(10), 1069 1076. http://dx.doi.org/10.5515/kjkiees.2014.25.10.1069 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

05(533-537) CPLV12-04.hwp

05(533-537) CPLV12-04.hwp 모바일 OS 환경의 사용자 반응성 향상 기법 533 모바일 OS 환경의 사용자 반응성 향상 기법 (Enhancing Interactivity in Mobile Operating Systems) 배선욱 김정한 (Sunwook Bae) 엄영익 (Young Ik Eom) (Junghan Kim) 요 약 사용자 반응성은 컴퓨팅 시스템에서 가장 중요 한 요소 중에 하나이고,

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-08-15 한국통신학회논문지 '10-08 Vol.35 No. 8 건설생산성 향상을 위한 건설현장 내 RFID 네트워크 시스템 적용 방안 준회원 김 신 구*, 정회원 이 충 희*, 이 성 형*, 종신회원 김 재 현* Method of RFID Network System Application for Improving of Construction

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

63-69±è´ë¿µ

63-69±è´ë¿µ Study on the Shadow Effect of 3D Visualization for Medical Images ased on the Texture Mapping D.Y. Kim, D.S. Kim, D.K. Shin, D.Y. Kim 1 Dept. of iomedical Engineering, Yonsei University = bstract = The

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 RecurDyn 의 Co-simulation 와 하드웨어인터페이스적용 2016.11.16 User day 김진수, 서준원 펑션베이솔루션그룹 Index 1. Co-simulation 이란? Interface 방식 Co-simulation 개념 2. RecurDyn 과 Co-simulation 이가능한분야별소프트웨어 Dynamics과 Control 1) RecurDyn

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jul.; 27(7), 625634. http://dx.doi.org/10.5515/kjkiees.2016.27.7.625 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Near-Field

More information

歯3일_.PDF

歯3일_.PDF uuhm Daewoo Daily * 0.0% 23.6% 38.2% 50.0% 61.8% 100.0% 980 970 960 950 940 930 920 910 900 890 880 870 860 850 840 830 820 810 800 790 780 770 760 750 740 730 720 710 700 690 680 670 660 650 640 630

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 16 Jul.; 27(7), 64662. http://dx.doi.org/./kjkiees.16.27.7.646 ISSN 1226-3133 (Print)ISSN 2288-226 (Online) 2D Microwave Image

More information

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P

1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special P 1 : HEVC Rough Mode Decision (Ji Hun Jang et al.: Down Sampling for Fast Rough Mode Decision for a Hardware-based HEVC Intra-frame encoder) (Special Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.341

More information

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc 산업분석 Report / 터치스크린 211. 4. 5 비중확대(신규) 종목 투자의견 목표주가(원) 멜파스(9664) 매수(-) 67,( ) 일진디스플레이(276) 매수(신규) 14,5(-) 에스맥(9778) 매수(신규) 18,(-) 이엘케이(9419) 매수(-) 27,( ) 삼성전자 태블릿 PC 공급업체에 주목 터치스크린 산업 올해 9% YoY 성장 비중확대

More information

Analyses the Contents of Points per a Game and the Difference among Weight Categories after the Revision of Greco-Roman Style Wrestling Rules Han-bong

Analyses the Contents of Points per a Game and the Difference among Weight Categories after the Revision of Greco-Roman Style Wrestling Rules Han-bong Analyses the Contents of Points per a Game and the Difference among Weight Categories after the Revision of Greco-Roman Style Wrestling Rules Han-bong An 1 & Kyoo-jeong Choi 2 * 1 Korea National Wrestling

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 30 아날로그 - 디지털변환기의정적파라미터테스트를위한내장자체테스트방법김인철외 논문 2012-49SD-5-5 아날로그 - 디지털변환기의정적파라미터테스트를위한내장 자체테스트방법 (A Built-in Self-Test of Static Parameters for Analog-to-Digital Converters ) 김인철 *, 장재원 *, 강성호 ** * (Incheol

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 32 고속고장진단을위해고장후보정렬과테스트패턴정렬을이용한고장탈락방법이주환외 논문 2009-46SD-3-5 고속고장진단을위해고장후보정렬과테스트패턴정렬을 이용한고장탈락방법 (A Fault Dropping Technique with Fault Candidate Ordering and Test Pattern Ordering for Fast Fault Diagnosis

More information

03이승호_ok.hwp

03이승호_ok.hwp (JBE Vol. 19, No. 2, March 2014) (Special Paper) 19 2, 2014 3 (JBE Vol. 19, No. 2, March 2014) http://dx.doi.org/10.5909/jbe.2014.19.2.158 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) Rough Mode Decision

More information

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte

Ⅱ. Embedded GPU 모바일 프로세서의 발전방향은 저전력 고성능 컴퓨팅이다. 이 러한 목표를 달성하기 위해서 모바일 프로세서 기술은 멀티코 어 형태로 발전해 가고 있다. 예를 들어 NVIDIA의 최신 응용프 로세서인 Tegra3의 경우 쿼드코어 ARM Corte 스마트폰을 위한 A/V 신호처리기술 편집위원 : 김홍국 (광주과학기술원) 스마트폰에서의 영상처리를 위한 GPU 활용 박인규, 최호열 인하대학교 요 약 본 기고에서는 최근 스마트폰에서 요구되는 다양한 멀티미 디어 어플리케이션을 embedded GPU(Graphics Processing Unit)를 이용하여 고속 병렬처리하기 위한 GPGPU (General- Purpose

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

07.045~051(D04_신상욱).fm

07.045~051(D04_신상욱).fm J. of Advanced Engineering and Technology Vol. 1, No. 1 (2008) pp. 45-51 f m s p» w Á xá zá Ÿ Á w m œw Image Retrieval Based on Gray Scale Histogram Refinement and Horizontal Edge Features Sang-Uk Shin,

More information

½Éº´È¿ Ãâ·Â

½Éº´È¿ Ãâ·Â Standard and Technology of Full-Dimension MINO Systems in LTE-Advances Pro Massive MIMO has been studied in academia foreseeing the capacity crunch in the coming years. Presently, industry has also started

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 26(12),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Dec.; 26(12), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Dec.; 26(12), 1044 1049. http://dx.doi.org/10.5515/kjkiees.2015.26.12.1044 ISSN 1226-3133 (Print) ISSN 2288-226X (Online)

More information

Software Requirrment Analysis를 위한 정보 검색 기술의 응용

Software Requirrment Analysis를 위한 정보 검색 기술의 응용 EPG 정보 검색을 위한 예제 기반 자연어 대화 시스템 김석환 * 이청재 정상근 이근배 포항공과대학교 컴퓨터공학과 지능소프트웨어연구실 {megaup, lcj80, hugman, gblee}@postech.ac.kr An Example-Based Natural Language System for EPG Information Access Seokhwan Kim

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

06_ÀÌÀçÈÆ¿Ü0926

06_ÀÌÀçÈÆ¿Ü0926 182 183 184 / 1) IT 2) 3) IT Video Cassette Recorder VCR Personal Video Recorder PVR VCR 4) 185 5) 6) 7) Cloud Computing 8) 186 VCR P P Torrent 9) avi wmv 10) VCR 187 VCR 11) 12) VCR 13) 14) 188 VTR %

More information

<91E6308FCD5F96DA8E9F2E706466>

<91E6308FCD5F96DA8E9F2E706466> 㓙 ࡐ ࡓ 㧢 㧝 ޓ ㅢ 㓙 ࡐ ࡓ 㓙 ࡐ ࡓ Si 8th Int. Conf. on Si Epitaxy and Hetero- structures (ICSI-8) & 6th Int. Symp. Control of Semiconductor Interfaces 25 6 2 6 5 250 Si 2 19 50 85 172 Si SiGeC Thin Solid Films

More information

2 / 26

2 / 26 1 / 26 2 / 26 3 / 26 4 / 26 5 / 26 6 / 26 7 / 26 8 / 26 9 / 26 10 / 26 11 / 26 12 / 26 13 / 26 14 / 26 o o o 15 / 26 o 16 / 26 17 / 26 18 / 26 Comparison of RAID levels RAID level Minimum number of drives

More information

ePapyrus PDF Document

ePapyrus PDF Document 막힌 부분을 갖는 네트워크 내 효과적인 경로 탐색을 위한 유전 알고리즘 적용 김준우 *, 이민정 ** 요약 자연계의 진화 과정을 모방하는 유전 알고리즘은 다양한 조합 최적화와 같은 NP-hard 문제의 해를 탐색하는데 매 우 유용한 도구이다. 본 논문은 네트워크 내에 존재하는 두 노드 사이의 최단 경로를 구하는 문제 풀이를 위하여 유 전 알고리즘을 적용하고자

More information

<33312D312D313220C0CCC7D1C1F820BFB0C3A2BCB12E687770>

<33312D312D313220C0CCC7D1C1F820BFB0C3A2BCB12E687770> Journal of the Society of Korea Industrial and Systems Engineering Vol No pp March 8 Scatter Search를 이용한 신뢰성 있는 네트워크의 경제적 설계 * ** * ** Economic Design of Reliable Networks Using Scatter Search HanJin Lee*

More information

Journal of Educational Innovation Research 2016, Vol. 26, No. 1, pp.1-19 DOI: *,..,,,.,.,,,,.,,,,, ( )

Journal of Educational Innovation Research 2016, Vol. 26, No. 1, pp.1-19 DOI:   *,..,,,.,.,,,,.,,,,, ( ) Journal of Educational Innovation Research 2016, Vol. 26, No. 1, pp.1-19 DOI: http://dx.doi.org/10.21024/pnuedi.26.1.201604.1 *,..,,,.,.,,,,.,,,,, ( ).,,,. * 2014. 2015. ** 1, : (E-mail: cajoo@pusan.ac.kr)

More information

Microsoft Word - P02.doc

Microsoft Word - P02.doc 전자제품 설계를 위한 가독성 평가 Legibility evaluation for the letter sizing of an electronic product 박세진 *, 이준수 *, 강덕희 *, 이현자 ** * 한국표준과학연구원, ** ACE침대 교신저자: 박세진(sjpark@kriss.re.kr) ABSTRACT Size of suitable letter

More information

슬라이드 1

슬라이드 1 강력한성능! 인터넷 / 업무용데스크탑 PC NX-H Series Desktop PC NX1- H700/H800/H900 NX2- H700/H800/H900 NX1-H Series 사양 Series 제품설명 ( 모델명 ) NX1-H Series, 슬림타입 기본형모델중보급형모델고급형모델 NX1-H800:112SN NX1-H800:324SN NX1-H800:534MS

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information