2 I. 서론: 무선 통신과 전자공학 시대의 개막 1873년 제임스 맥스웰(James Clerk Maxwell)이 전자기 파의 존재를 예상하고 [1], 1887년 하인리히 헤르츠(Heinrich Rudolf Hertz)에 의해 전자기파의 존재가 확인된 후 [2] 전자기

Size: px
Start display at page:

Download "2 I. 서론: 무선 통신과 전자공학 시대의 개막 1873년 제임스 맥스웰(James Clerk Maxwell)이 전자기 파의 존재를 예상하고 [1], 1887년 하인리히 헤르츠(Heinrich Rudolf Hertz)에 의해 전자기파의 존재가 확인된 후 [2] 전자기"

Transcription

1 Revised version: History and Principles of Vacuum Tubes and Transistors Minwook Kim Seoul National University, Seoul 08826, Korea Department of Physics and Astronomy (Submitted 05 October 2017) The transistor, considered to be the greatest invention of the 20th century, has replaced the vacuum tube that dominated the previous era and has been a key element in electronics for the last half century. Understanding the background of the opening of the electronic engineering era, and the birth of two rulers - the vacuum tube and the transistor can help you to comprehend how electronics has evolved. In this paper, I introduce the history of electronic devices from Marconi s development of wireless radio communication in the late 1800 s to the invention of vacuum tubes by Fleming and Forest in the early 1900 s through the birth of the transistor in 1947 by Shockley, Bardeen, and Brattain. The physical principles and structure of each devices are also described. 진공관과 트랜지스터 : 역사와 원리 김민욱 서울대학교, 서울 08826, 대한민국 물리천문학부 (2017년 10월 5일 제출) 20세기 가장 위대한 발명으로 꼽히는 트랜지스터는 이전 시대를 지배하던 진공관을 대체하고 지난 반 세기 동안 전자공학의 핵심 소자로 자리해 왔다. 전자공학 시대의 개막과 진공관-트랜지스터, 두 지배자의 탄생 배경에 대해 이해하면 전자공학이 어떻게 발전되어 왔는지 파악할 수 있다. 본 논문에서는 1800년대 후반 마르코니의 무선 전파 통신 개척에서부터 1900년대 초반 플레밍과 포리스트의 진공관 발명을 거쳐 1947년에 쇼클리, 바딘, 브래에 의해 트랜지스터가 탄생하기까지 약 50년간 전자 소자의 역사를 소개한다. 아울러 각 소자의 물리학적 원리와 구조에 대해서도 기술한다. ace@snu.ac.kr

2 2 I. 서론: 무선 통신과 전자공학 시대의 개막 1873년 제임스 맥스웰(James Clerk Maxwell)이 전자기 파의 존재를 예상하고 [1], 1887년 하인리히 헤르츠(Heinrich Rudolf Hertz)에 의해 전자기파의 존재가 확인된 후 [2] 전자기파를 이용한 무선 통신은 세상에 가능성을 드러냈다. 19세기 후반부터 시작된 무선 통신에 관한 연구는 굴리엘 모 마르코니(Guglielmo Marconi)에 의해 1901년 대서양 II. 진공관: 전자공학 시대의 첫 번째 지배자 무선 통신이 실현되고 10여년이 지나 등장한 진공관은 등 장과 함께 전자공학 시대를 지배하게 된다. 정류자의 기능 개선을 위해 발명된 진공관은 추가적으로 증폭기의 기능 도 갖추게 되며 무선 통신을 포함한 많은 전자공학 분야에 커다란 영향을 끼친다. 이후 제 1, 2차 세계 대전을 거치 며 무선 통신의 중요성이 대두되고, 진공관과 전자공학의 발전에도 가속이 붙는다. 횡단 무선 통신이 성공하면서 결실을 맺고, 마르코니의 성 공은 세상에 전자공학 시대의 개막을 알린다. [3] 전파 통신에는 교류 전파로 변조된 음성 신호를 다시 음 1. 플레밍의 2극 진공관 성 신호로 검파하기 위한 정류자가 반드시 필요하다. 초기 전파통신 장치의 정류자는, 브라운관으로 잘 알려진 페르 디난트 브라운(Karl Ferdinand Braun)에 의해 1874년 발 명된, 점 접촉 정류자를 사용했다. 이것은 방연석(PbS) 결 정에 얇은 금속 선을 접촉시키면 금속 선을 양극, 방연석 결정을 음극으로 하는 방향으로만 전류가 흐르는 원리를 이용했다. 이 얇은 금속 선이 마치 고양이 수염처럼 생겼 다고 하여 고양이 수염 정류자라는 이름으로 많이 불린다. 점 접촉 정류자는 뒤에 나올 점 접촉 트랜지스터의 전신 과도 같지만, 이름 그대로 정류의 기능만을 가지고 있고, 신호를 증폭시킬 수는 없었다. 마르코니의 전파 통신 장치 를 포함한 초기의 전파 통신 장치에는 점 접촉 정류자가 사용되었으나, 수신상태가 불안정해 소리가 깨지고 원하는 주파수만을 검류하지 못하는 등 정류 작용의 안정성에도 플레밍은 마르코니의 회사에 오기 전 토마스 에디슨 (Thomas Alva Edison)의 회사에서 자문 역을 맡았고, 1884년에는 에디슨을 만나 에디슨 효과(Edison effect)에 대해 알게 되었다. 에디슨 효과는 에디슨이 전구의 그을음 제거와 필라멘트의 수명을 개선하기 위한 많은 시도를 하 던 중에 우연히 발견되었다. 전구 내부에 금속 플레이트를 삽입했더니 가열된 필라멘트로부터 열전자가 방출되어 진 공 중에서 금속 플레이트로 전류가 흐르는 현상을 발견한 것이다. 이 전류의 전하 운반자(carrier)가 열에 의해 필라 멘트에서 방출된 전자이므로 플레이트와 필라멘트의 전위 부호에 따라 전류 여부가 결정되었다. 에디슨은 직류만을 실험에 사용하여 이 현상에 크게 의미를 두지 않았으나, 플 레밍은 이 장치에 교류를 흘리는 실험을 통해 장치의 정류 가능성을 보았다. [5] 문제가 있었다. [4] 마르코니는 무선 통신 회사를 설립했고, 회사에는 무선 통 신 장치의 발전을 위해 연구하던 과학자들이 있었다 년부터 마르코니사의 과학 자문을 맡게 된 앰브로즈 플레 밍(John Ambrose Fleming)도 그 중 한명이었다. 플레밍은 정류자의 개선을 고민하던 중 획기적인 발상을 하게 된다. Fig. 2. Edison effect: 필라멘트와 플레이트 사이의 전위에 따라 전류의 흐름이 결정된다 Fig. 1. Cat s Whisker Rectifier 20여년이 지나 마르코니사에서 정류자의 개선을 연구하던 플레밍은 에디슨 효과를 정류자에 이용할 생각에 도달한 다. 필라멘트보다 플레이트의 전위가 높으면 진공을 통해 전류가 흐르지만, 반대의 경우엔 전류가 흐르지 못하는 현 상을 교류의 정류에 이용한 것이다. 이렇게 플레밍은 1904

3 3 3. 진공관의 한계 년 새로운 형태의 정류자이자 진공관의 시조라 할 수 있는 플레밍 밸브(Fleming valve)를 발명한다. 이것은 두 개의 입력 단자가 달린 2극 진공관이다. 플레밍 밸브는 훨씬 나 핵심 소자로서 진공관이 이뤄내는 전자공학의 눈부신 발 은 정류자로서의 기능을 선보였고 곧바로 브라운의 점 접촉 전과 함께 한편으로는 진공관의 문제점도 드러나고 있었다. 정류자를 대체하게 되었다. 진공관은 가열된 금속에서 전자가 방출되는 에디슨 효과가 골자이므로 반드시 금속에 열을 가해야 한다. 따라서 많은 열이 발생하고 많은 전력을 소비했으며 그 수명 또한 길 2. 포리스트의 3극 진공관 지 않았다. 유리로 구성되어 내구성 또한 좋지 못했으며, 출력이 진공관의 크기에 비례했기 때문에 고출력을 위해 위의 정류자들은 무선 전파 통신을 가능케 한 일등 공 서는 장치의 크기가 커야만 하는 필연성이 있었다. 이와 신이었지만, 증폭 작용의 부재로 인해 전파 송신소로부터 같은 진공관의 한계점을 여실히 드러낸 장치가 아이러니 거리에 따른 신호의 감소가 곧 음질의 저하로 이어졌고, 미 하게도 진공관 시대 최고의 결실이라 볼 수 있는 최초의 약한 신호를 증폭하여 음질을 개선하는 것은 불가능했다. 컴퓨터 애니악(ENIAC)이다. 애니악은 50평의 공간을 차 플레밍의 진공관 이론이 발표되고 2년 뒤인 1906년, 신호 지했고 항상 진공관 교체를 위한 사람이 대기하고 있어야 증폭 작용의 필요성을 직감했던 리 드 포리스트(Lee De 했으며 냉각을 위한 에어컨 가동이 필수였다. 또한 가동시 Forest)에 의해 3극 진공관이 개발된다. 이것은 2극 진공관 필라델피아에 전력난을 일으킬 정도로 어마어마한 전력을 의 구조 중 필라멘트와 플레이트 사이에 역전압을 인가할 소비했다. 수 있는 철망 형태의 그리드만을 추가한 구조였다. 비단 컴퓨터뿐만 아니라 다른 전자 기기들의 휴대성과 실용 성 또한 점점 강조되었고, 20세기 중반 당시 많은 전자공학 계열 회사들은 진공관의 단점들을 해결할 수 있는 신소재 개발에 주목하고 있었다. [3] III. 트랜지스터: 전자공학 시대의 황태자 진공관이 전자공학의 발전을 이끌고 있을 당시 이미 반 Fig. 3. Triode amplifier circuit: 입력 전압의 미세한 변화 가 출력 전압의 커다란 변화를 만든다 도체를 통한 정류 작용까지는 가능한 상황이었다. 성능은 떨어지지만, 가장 초기의 통신 장치에서 쓰였던 브라운의 점 접촉 정류기가 반도체를 사용한 정류기의 일종이라고 Fig 3과 같이 그리드와 필라멘트 사이에는 전압 신호가 입 볼 수 있다. 반도체는 진공관의 단점들을 해결할 수 있을 력된다. 그리드가 필라멘트보다 전위가 높을 경우 필라멘트 만한 가능성이 있었다. 발열, 전력, 크기, 수명 등 많은 면에 에서 방출되는 대부분의 전자가 그리드에 흡수되며 출력은 서 진공관보다 우월했다. 다만 반도체를 이용한 안정적인 거의 0이 된다. 따라서 의미있는 결과를 얻으려면 그리드 증폭기가 아직 개발되지 않았을 뿐이었다. 에 역전압을 인가해야 한다. 그리드의 전위가 필라멘트보다 1945년 AT&T의 벨 연구소장 머빈 켈리(Mervin Joe 낮으면 필라멘트에서 플레이트로 향하는 전자의 흐름을 방 Kelly)는 반도체가 진공관을 대체할 새로운 소자가 될 것 해하는데, 그리드에 걸린 역전압의 작은 변화가 필라멘트와 이라 생각하고 반도체 증폭기를 개발하기 위한 연구팀을 플레이트 사이에 흐르는 커다란 전류를 조절할 수 있으므 꾸렸다. 이렇게 트랜지스터의 발명자인 세 물리학자 윌 로 증폭기의 역할이 가능하다. 포리스트가 오디온(Audion) 리엄 쇼클리(William Bradford Shockley), 존 바딘(John 이라 이름 붙인 3극 진공관의 등장으로 신호의 증폭이 가능 Bardeen), 월터 브래튼(Walter Houser Brattain)이 모이 하게 된 전파 통신 장치는 또 한번의 커다란 발전을 이루게 게 되었다. 흥미롭게도 벨 연구소 반도체 증폭기 연구팀의 된다. 이후 다극 진공관의 발명 등 진공관의 개선이 거듭 성과물은 하나가 아니라 둘이었다. 바딘과 브래튼이 발명한 되고, 무선 통신 이외의 다른 전자 기기에도 활용되면서 점 접촉 트랜지스터(point-contact transistor)와 쇼클리가 진공관은 본격적인 전자공학 시대를 꽃피우는 핵심 소자로 발명한 접합 트랜지스터(junction transistor)가 그것이다. 자리잡는다. [6] [8]

4 4 1. 쇼클리의 장 효과 이론과 바딘의 표면 상태 이론 연구팀의 리더였던 쇼클리는 이미 구상했던 반도체 증 폭기의 이론이 있었다. 외부 전기장의 변화를 통해 얇은 반도체 막의 다수 전하 운반자(majority carrier) 수를 증감 시킴으로써 반도체의 전기 전도도와 흐르는 전류의 세기를 조절할 수 있을 것이라는 장 효과 이론(field effect theory) 이었다(see Figure 4, 5). 즉, 쇼클리 이론의 핵심은 다수 전하 운반자의 농도 변화에 의한 전기 전도도의 변화이다. 하지만 전기장의 변화에 따른 표면 전기 전도도의 변화는 이론적인 예상치보다 매우 부족했으며 증폭기로의 구현은 번번히 실패했다. 쇼클리는 실패 원인 분석을 바딘에게 맡 겼고, 곧 바딘은 표면 상태(surface state)에 기반한 가설 로서 실패 원인을 설명했다. 결정 표면의 전하 운반자들이 반도체를 차폐(shielding)하고 있어서 외부 전기장이 얇은 반도체 내부에 있는 전하 운반자에 영향을 미치지 못한다 는 것이다. 바딘의 표면 상태 이론은 점 접촉 트랜지스터와 1959년 발명된 MOSFET 등 이후 연구의 기반이 된다. [9] Fig. 5. Circuit schematic for the modulation of conductance by the field effect : 입력 전압을 통해 반도체 외부 에 전기장을 걸어 주면 얇은 반도체(이 그림에서는 n-type) 막 내부의 다수 전하 운반자(이 경우 전자)들이 표면으로 유도되어 반도체 표면의 전기 전도도가 커진다. 이 전기 전 도도의 변화가 출력 전류의 변화를 일으켜 증폭기의 역할을 수행할 수 있다. 2. 바딘과 브래튼의 점 접촉 트랜지스터 Fig. 4. The theory of a field-effect transistor using a thin layer of semiconductor (with no surface states): (a)입력 전압을 인가하지 않았을 때 (b)순전압을 인가하면 n형 반 도체의 표면에 전자가 유도되어 전기 전도도가 커진다 (c) 역전압을 인가하면 전기 전도도가 작아진다[ c 1984 IEEE]. 연구팀의 첫 과제는 표면 상태에 의한 전기 차폐를 무효 화하는 것이었다. 여기서 브래튼이 결정적인 발견을 한다. 브래튼은 여러 시도를 하던 중 실리콘 반도체를 전해액 속 에 가라앉히면 전해액의 이온이 표면의 전하 운반자들에 의한 차폐를 무효화시킬수 있다는 사실을 발견했다. 동시 에 바딘은 쇼클리의 구상처럼, 장 효과로 다수 전하 운반 자를 제어하여 전기 전도도를 조절하는 것이 효율적이지 않다고 생각했다. 바딘은 쇼클리의 초기 구상과 전혀 다 른, 소수 전하 운반자에 기반한 구조의 트랜지스터를 제안 했고, 1947년 11월에 p형 실리콘을 이용한 모델로 소정의 증폭 효과를 확인한다. 연구 도중 바딘과 브래튼은 저마늄 (Germanium)을 양극 산화(anodize)시키면 전해액을 대체 할 수 있음을 발견한다. 더 좋은 증폭 효과를 위해 구성 물질과 환경을 바꾸는 시행 착오 끝에 그들은 1947년 12

5 5 월 n형 저마늄(Germanium)에 화학적으로 형성된 역전층 접촉 트랜지스터가 발명되기 1주일 전에 이미 n-p-n 형태 (inversion layer)을 이용한 반도체 증폭기를 만들게 된다. 의 접합 반도체 증폭기에 관한 구상을 해 놓은 상태였다. 소수 전하 운반자(minority carrier)의 제어에 의한 전류에 이 접합 트랜지스터의 원리는 점 접촉 트랜지스터에 사용 기반한 첫 번째 반도체 증폭기의 탄생이었다. [9] 된 물리적 원리를 넘어서는데, 이미터에서 나온 소수 전하 Fig 6은 점 접촉 트랜지스터의 모식도(위)와 바딘과 브래 운반자가 트랜지스터의 베이스 층에 이미 존재하는 다수 튼이 출원한 특허에 포함된 그림(아래)이다. 모든 전압을 전하 운반자 속으로 확산되는 현상에 대한 이해가 필요하 인가하기 전의 저마늄[4]은 n-type이다. 베이스[2]와 이미 기 때문이다. 쇼클리는 이 현상에 대해 확신하지 못하고 터 전극[5] 사이에 순바이어스(forward bias)를 인가하면 있었으나, 우선적으로 1948년 1월 접합 반도체 증폭기의 이미터 전극으로부터 소수 전하 운반자인 양공(hole)이 저 구조로 특허를 출원한다. 그 직후 2월 같은 벨 연구소의 마늄으로 확산되어 얇은 p-type역전층[3](inversion layer) 물리학자 샤이브(John Northrup Shive)가 행한, 점 접촉 을 형성한다. 역바이어스(reverse bias)가 인가되어 전자의 트랜지스터의 이미터와 컬렉터를 반도체의 반대편에 위치 과잉 상태에 둘러싸여 있던 컬렉터 전극은 이 역전층을 통 시키는 실험에서, 쇼클리는 앞서 기술한 현상이 존재함을 해 이미터로부터 전류가 흐를 수 있게 된다. 역전층 채널의 확인했다. 즉, 반도체 내부로 소수 전하 운반자가 확산되 크기, 즉 저항은 이미터에 걸린 순바이어스의 크기에 의존 어 전류가 흐를 수 있다는 가능성을 본 것이다. 쇼클리는 하고 역전층 채널의 저항은 컬렉터에 도달하는 전압, 전류, 접합 반도체 증폭기가 이론적으로 가능함은 확인했으나 베 전력에 큰 영향을 준다. 이미터에 입력된 작은 신호가 컬렉 이스 내부로 확산된 소수 전하 운반자가 이미터와 컬렉터 터에 큰 신호로 도달하게 되는 증폭기의 역할을 수행할 수 있는 것이다. [7] [8] 사이 연결을 만들 만큼 오래 생존하지 못하는 문제로 인해 곧바로 구현하지는 못했다. 쇼클리의 이론은 이후에 다른 과학자들의 연구로부터 기술적인 도움을 받아 1951년 n-pn형 접합 트랜지스터가 구현되는 데 밑바탕이 된다. Fig. 7. Schematic representation of the semiconductor junction amplifier Fig 7은 쇼클리의 특허에 포함된 그림이다. 이미터에서 베 Fig. 6. Schematic representation of the semiconductor point-contact amplifier 이스로 전자가 진입하려면 p형 반도체의 불순물에 의해 형 성된 퍼텐셜 장벽을 넘어야 한다. 베이스인 p형 반도체의 두께가 충분히 얇다면 이 퍼텐셜 장벽이 높지 않다. 이미 터-베이스에 순바이어스를 인가하면 베이스에 양전위가 인 3. 쇼클리의 접합 트랜지스터 가되어 양공이 쉽게 p형 반도체 안으로 흐른다. p형 반도체 안으로 확산된 양공은 전자 입장에서의 퍼텐셜 장벽을 낮 무슨 이유에서인지, 바딘과 브래튼은 그들의 점 접촉 트 추고, 이것은 퍼텐셜 장벽을 넘어오는 전자의 흐름을 지수 랜지스터 특허에 쇼클리의 이름을 넣지 않았고, 이것은 쇼 함수적으로 증가시킨다. n형 반도체로부터 p형 반도체로 클리에게 큰 절망과 자극을 주었다. 쇼클리는 곧바로 더 확산되어 나온 전자는 p형 반도체에서 흘러가는 도중, 베 나은 반도체 증폭기를 발명하기로 결심한다. 쇼클리는 점 이스-컬렉터에 인가된 역바이어스에 의해 컬렉터 쪽으로

6 6 가속된다. 이미터에서 컬렉터로 유입되는 전자 수가 바이 어스의 크기에 의해 아래 식과 같이 지수함수적으로 결정되 고, 전자 수는 곧 컬렉터에서 출력되는 전압과 전류, 전력에 직결된다. 즉, 바이어스로서 입력되는 신호가 컬렉터에서 큰 신호로 증폭되어 출력되는 것이다. 이미터와 베이스 사 이 전류는 다음과 같이 주어지며, 전류의 증폭 작용을 나타 낸다. 컴퓨터로 대표되는 현대의 문명은 트랜지스터가 있기에 성 립한다. 만약 진공관을 개선하고자 하는 전 시대 사람들의 의지가 없었다면 트랜지스터는 탄생하지 못했을 것이다. 인류는 트랜지스터라는 벽돌로 50년 넘게 문명을 지어 오고 있다. 진공관이 50년 후 트랜지스터로 발전되었듯이, 현재의 트랜지스터도 발전하는 것이 바람직할 것이다. I = I0 (eqv /kt 1) 이 때 I0 은 포화 상태의 전류, q는 전자의 전하량 절대값, V 는 이미터에 인가된 바이어스, k는 볼츠만 상수, T 는 절대 온도이다. [10] [11] 4. 트랜지스터 발명 이후 트랜지스터가 발명된 지 얼마 되지 않아 트랜지스터만의 우수성이 부각되었고, 트랜지스터는 곧 진공관의 왕위를 계 승했다. 바딘-브래튼의 점 접촉 트랜지스터는 그 효율성이 쇼클리의 접합 트랜지스터보다 좋지 않아 상용화되지 않 았다. 쇼클리의 트랜지스터는 기존 진공관의 크기, 전력, 열, 수명 등 단점의 해결은 소자의 소형화와 함께 기기의 소형화도 이끌어냈다. 크고 무거워 들고 다니기 힘들었던 라디오는 배터리로 작동하며 주머니에 넣을 수 있는 사이 즈가 되었고, 집 한채 면적을 모두 차지하던 컴퓨터는 점차 소형화되어 대중들이 개인 컴퓨터로 사용할 수 있게 되었 다. 현재까지 이어지는 트랜지스터 시대가 열린 것이다. IV. 결론 19세기 후반부터 시작된 전자공학의 시대는 20세기를 전기와 후기로 나누어 지배한 진공관과 트랜지스터를 거쳐 오늘날까지 이어지고 있다. 전자기파의 발견으로부터 이를 통신에 적용하려 한 마르코니의 창의성, 지나칠 만한 사소한 발견으로부터 위대한 발명을 해낸 플레밍의 재치, 대단한 발명품에 위축되지 않고 오히려 2년 만에 그것을 개선하여 내놓은 포리스트의 세밀함, 기존의 이론과 방식을 과감히 포기하고 새로운 소자를 창조한 바딘과 브래튼의 대담함, 동료들의 배신에도 이를 원동력 삼아 더 위대한 발명을 해낸 쇼클리의 투지, 지난 백년간의 전자공 학 역사에는 본 논문에서 다룬 굵직한 인물들과 함께 미처 다루지 못한 과학자와 발명가들의 위대한 업적들이 담겨 있다. 거인의 어깨 위에 올라섰던 난쟁이들은 오늘날의 우리들을 위해 거인의 어깨가 되었다. REFERENCES [1] J. Maxwell, A Treatise on Electricity and Magnetism, 1873 [2] H. Hertz, On Electromagnetic Effects Produced by Electrical Disturbances in Insulators, Sitzungsber. d. Berl. Akad. d. Wiss., 1887 [3] HJ Schmitt, The Rise and Fall of the VacuumTube, Overview IHF Museum, RWTH-Aachen, 2005 [4] IEEE, Crystal radio detector [ cat s whisker ]: the first wireless device, IEEE Circuits and Devices Magazine, Volume: 17, Issue: 2, March 2001 [5] J. A. Fleming, On Electric Discharge Between Electrodes Temperatures in Air and High Vacua, Proceedings of the Royal Society 47: , 1890 [6] IEEE, The Audion- detector and amplifier, Proceedings of the IEEE, Volume: 86, Issue: 9, 1998 [7] J. Bardeen and W. Brattain, The Transistor, A Semi-Conductor Triode, Physics Review, Volume: 74, , 1948 [8] H. R. Huff, JOHN BARDEEN and TRANSISTOR PHYSICS, CHARACTERIZATION AND METROLOGY FOR ULSI TECHNOLOGY AIP Conference Proceedings, Volume: 550, 3-32, 2001 [9] M. Riordan, L. Hoddeson and C. Herring, The invention of the transistor, Reviews of Modern Physics, Volume: 71, No. 2, Centenary, 1999 [10] W. Shockley, The Theory of p-n Junctions in Semiconductors and p-n Junction Transistors, Bell Labs Technical Journal, Volume: 28, Issue 3, , 1949 [11] W. Shockley, The Path to The Conception of The Junction Transistor, IEEE Trans. Electron Devices, ED-23, , 1976

7 First version: History and Principles of Vacuum Tubes and Transistors Minwook Kim Seoul National University, Seoul 08826, Korea Department of Physics and Astronomy (Submitted 05 October 2017) The transistor, considered to be the greatest invention of the 20th century, has replaced the vacuum tube that dominated the previous era and has been a key element in electronics for the last half century. Understanding the background of the opening of the electronic engineering era, and the birth of two rulers - the vacuum tube and the transistor can help you to comprehend how electronics has evolved. In this paper, I introduce the history of electronic devices from Marconi s development of wireless radio communication in the late 1800 s to the invention of vacuum tubes by Fleming and Forest in the early 1900 s through the birth of the transistor in 1947 by Shockley, Bardeen, and Brattain. The physical principles and structure of each devices are also described. 진공관과 트랜지스터 : 역사와 원리 김민욱 서울대학교, 서울 08826, 대한민국 물리천문학부 (2017년 10월 5일 제출) 20세기 가장 위대한 발명으로 꼽히는 트랜지스터는 이전 시대를 지배하던 진공관을 대체하고 지난 반 세기 동안 전자공학의 핵심 소자로 자리해 왔다. 전자공학 시대의 개막과 진공관-트랜지스터, 두 지배자의 탄생 배경에 대해 이해하면 전자공학이 어떻게 발전되어 왔는지 파악할 수 있다. 본 논문에서는 1800년대 후반 마르코니의 무선 전파 통신 개척에서부터 1900년대 초반 플레밍과 포리스트의 진공관 발명을 거쳐 1947년에 쇼클리, 바딘, 브래에 의해 트랜지스터가 탄생하기까지 약 50년간 전자 소자의 역사를 소개한다. 아울러 각 소자의 물리학적 원리와 구조에 대해서도 기술한다. ace@snu.ac.kr

8 2 I. 서론: 무선 통신과 전자공학 시대의 개막 II. 진공관: 전자공학 시대의 첫 번째 지배자 1873년 제임스 맥스웰(James Clerk Maxwell)이 전자기 무선 통신이 실현되고 10여년이 지나 등장한 진공관은 등 파의 존재를 예상하고 [1], 1887년 하인리히 헤르츠(Hein- 장과 함께 전자공학 시대를 지배하게 된다. 정류자의 기능 rich Rudolf Hertz)에 의해 전자기파의 존재가 확인된 후 [2] 개선을 위해 발명된 진공관은 추가적으로 증폭기의 기능 전자기파를 이용한 무선 통신은 세상에 가능성을 드러냈다. 도 갖추게 되며 무선 통신을 포함한 많은 전자공학 분야에 19세기 후반부터 시작된 무선 통신에 관한 연구는 굴리엘 커다란 영향을 끼친다. 이후 제 1, 2차 세계 대전을 거치 모 마르코니(Guglielmo Marconi)에 의해 1901년 대서양 며 무선 통신의 중요성이 대두되고, 진공관과 전자공학의 횡단 무선 통신이 성공하면서 결실을 맺고, 세상에 전자공 발전에도 가속이 붙는다. 학 시대의 개막을 알린다. [3] 전파 통신에는 교류 전파로 변조된 음성 신호를 다시 음 성 신호로 검파하기 위한 정류자가 반드시 필요하다. 초기 전파통신 장치의 정류자는 브라운관으로 잘 알려진, 페르디 난트 브라운(Karl Ferdinand Braun)에 의해 1874년 발명 된 점 접촉 정류자를 사용했다. 이것은 방연석(PbS) 결정에 얇은 금속 선을 접촉시키면 금속 선을 양극, 방연석 결정을 1. 플레밍의 2극 진공관 플레밍은 마르코니의 회사에 오기 전 토마스 에디슨 (Thomas Alva Edison)의 회사에서 자문 역을 맡았고, 1884년에는 에디슨을 만나 에디슨 효과(Edison effect)에 알게 되었다. 에디슨 효과는 에디슨이 전구의 그을음 제 음극으로 하는 방향으로만 전류가 흐르는 원리를 이용한 거와 필라멘트의 수명을 개선하기 위한 많은 시도를 하던 것이다. 이 얇은 금속 선이 마치 고양이 수염처럼 생겼다 중에 우연히 발견되었다. 전구 내부에 금속 플레이트를 삽 고 하여 고양이 수염 정류자라는 이름으로 많이 불린다. 입했더니 가열된 필라멘트로부터 열전자가 방출되어 진공 점 접촉 정류자는 뒤에 나올 점 접촉 트랜지스터의 전신 중에서 금속 플레이로 전류가 흐르는 현상을 발견한 것이 과도 같지만, 이름 그대로 정류의 기능만을 가지고 있고, 다. 이 전류의 전하 운반자(carrier)가 열에 의해 필라멘트에 신호를 증폭시킬 수는 없었다. 마르코니의 전파 통신 장치 서 방출된 전자이므로 플레이트와 필라멘트의 전위 부호에 를 포함한 초기의 전파 통신 장치에는 점 접촉 정류자가 따라 전류 여부가 결정되었다. 에디슨은 직류만을 실험에 사용되었으나, 수신상태가 불안정해 소리가 깨지고 원하는 사용하여 이 현상에 크게 의미를 두지 않았으나, 플레밍은 주파수만을 검류하지 못하는 등 정류 작용의 안정성에도 이 장치에 교류를 흘리는 실험을 통해 이 장치의 정류 가 문제가 있었다. [4] 능성을 보았다. [5] 마르코니는 무선 통신 회사를 설립했고, 회사에는 무선 통신 장치의 발전을 위해 연구하던 과학자들이 있었다. 1899년부터 마르코니사의 과학 자문을 맡게 된 앰브로즈 플레밍(John Ambrose Fleming)도 그 중 한명이었다. 플레 밍은 정류자의 개선을 고민하던 중 획기적인 발상을 하게 된다. Fig. 2. Edison effect: 필라멘트와 플레이트 사이의 전위에 따라 전류의 흐름이 결정된다 20여년이 지나 마르코니사에서 정류자의 개선을 연구하던 플레밍은 에디슨 효과를 정류자에 이용할 생각에 도달한 Fig. 1. Cat s Whisker Rectifier 다. 필라멘트보다 플레이트의 전위가 높으면 진공을 통해 전류가 반대의 경우엔 전류가 흐르지 못하는 현상을 교류의

9 3 정류에 이용한 것이다. 이렇게 플레밍은 1904년 새로운 형 태의 정류자이자 진공관의 시조라 할 수 있는 플레밍 밸브 (Fleming valve)를 발명한다. 이것은 두 개의 입력 단자가 달린 2극 진공관이다. 플레밍 밸브는 훨씬 나은 정류자로 서의 기능을 선보였고 곧바로 브라운의 점 접촉 정류자를 대체하게 되었다. 2. 포리스트의 3극 진공관 위의 정류자들은 무선 전파 통신을 가능케 한 일등 공 신이었지만, 증폭 작용의 부재로 인해 전파 송신소로부터 거리에 따른 신호의 감소가 곧 음질의 저하로 이어졌고, 미 약한 신호를 증폭하여 음질을 개선하는 것은 불가능했다. 플레밍의 진공관 이론이 발표되고 2년 뒤인 1906년, 신호 증폭 작용의 필요성을 직감했던 리 드 포리스트(Lee De 진공관은 가열된 금속에서 전자가 방출되는 에디슨 효과가 골자이므로 반드시 금속에 열을 가해야 한다. 따라서 많은 열이 발생하고 많은 전력을 소비했으며 그 수명 또한 길지 않았다. 유리로 구성되어 내구성 또한 좋지 못했으며, 출 력이 크기에 비례했기 때문에 고출력을 위해서는 장치의 크기가 커야만 하는 필연성이 있었다. 이와 같은 진공관 의 한계점을 여실히 드러낸 장치가 아이러니하게도 진공관 시대 최고의 결실이라 볼 수 있는 최초의 컴퓨터 애니악 (ENIAC)이다. 애니악은 50평의 공간을 차지했고 항상 진 공관 교체를 위한 사람이 대기하고 있어야 했으며 냉각을 위한 에어컨 가동이 필수였다. 또한 가동시 필라델피아에 전력난을 일으킬 정도로 어마어마한 전력을 소비했다. 비단 컴퓨터뿐만 아니라 다른 전자 기기들의 휴대성과 실용 성 또한 점점 강조되었고, 20세기 중반 당시 많은 전자공학 계열 회사들은 진공관의 단점들을 해결할 수 있는 신소재 개발에 주목하고 있었다. [3] Forest)에 의해 3극 진공관이 개발된다. 이것은 2극 진공관 의 구조 중 필라멘트와 플레이트 사이에 역전압을 인가할 수 있는 철망 형태의 그리드만을 추가한 구조였다. 그리드 Fig. 3. Triode amplifier circuit: 입력 전압의 미세한 변화 가 출력 전압의 커다란 변화를 만든다 에 역전압이 인가되면 전자의 흐름을 방해하는데, 이 전압 의 작은 변화가 필라멘트와 플레이트 사이에 흐르는 커다란 전류를 조절할 수 있으므로 증폭기의 역할을 할 수 있다. 포리스트가 오디온(Audion)이라 이름 붙인 3극 진공관의 등장으로 신호의 증폭이 가능하게 된 전파 통신 장치는 또 한번의 커다란 발전을 이루게 된다. 이후 다극 진공관의 발명 등 진공관의 개선이 거듭되고, 무선 통신 이외의 다 III. 트랜지스터: 전자공학 시대의 황태자 진공관이 전자공학의 발전을 이끌고 있을 당시 이미 반 도체를 통한 정류 작용까지는 가능한 상황이었다. 성능은 미달되지만 가장 초기의 통신 장치에서 쓰였던 브라운의 점 접촉 정류기가 반도체를 사용한 정류기의 일종이라고 볼 수 있다. 반도체는 진공관의 단점들을 해결할 수 있을 만한 가능성이 있었다. 발열, 전력, 크기, 수명 등 많은 면에 서 진공관보다 우월했다. 다만 반도체를 이용한 안정적인 증폭기가 아직 개발되지 않았을 뿐이었다. 1945년 AT&T의 벨 연구소장 머빈 켈리(Mervin Joe Kelly)는 반도체가 진공관을 대체할 새로운 소자가 될 것 이라 생각하고 반도체 증폭기를 개발하기 위한 연구팀을 꾸렸다. 이렇게 트랜지스터의 발명자인 세 물리학자 윌 리엄 쇼클리(William Bradford Shockley), 존 바딘(John Bardeen), 월터 브래튼(Walter Houser Brattain)이 모이 게 되었다. 벨 연구소의 반도체 증폭기 연구팀의 성과물은 하나가 아니라 둘이었다. 바딘과 브래튼이 발명한 점 접촉 트랜지스터(point-contact transistor)와 쇼클리가 발명한 접합 트랜지스터(junction transistor)가 그것이다. [8] 른 전자 기기에도 활용되면서 진공관은 본격적인 전자공학 시대를 꽃피우는 핵심 소자로 자리잡는다. [6] 1. 쇼클리의 장 효과 이론과 바딘의 표면 상태 이론 3. 진공관의 발전과 한계 핵심 소자로서 진공관이 이뤄내는 전자공학의 눈부신 발 전과 함께 한편으로는 진공관의 문제점도 드러나고 있었다. 연구팀의 리더였던 쇼클리는 이미 구상했던 반도체 증 폭기의 이론이 있었다. 외부 전기장의 변화를 통해 얇은 반도체 막의 다수 전하 운반자(majority carrier) 수를 증감 시킴으로써 반도체의 전기 전도도와 흐르는 전류의 세기를

10 4 조절할 수 있을 것이라는 장 효과 이론(field effect theory) 이었다(see Figure 4, 5). 즉, 쇼클리 이론의 핵심은 다수 전하 운반자의 농도 변화에 의한 전기 전도도의 변화이다. 하지만 전기장의 변화에 따른 표면 전기 전도도의 변화는 이론적인 예상치보다 매우 부족했으며 증폭기로의 구현은 번번히 실패했다. 쇼클리는 실패 원인 분석을 바딘에게 맡 겼고, 곧 바딘은 표면 상태(surface state)에 기반한 가설 로서 실패 원인을 설명했다. 결정 표면의 전하 운반자들이 반도체를 차폐(shielding)하고 있어서 외부 전기장이 얇은 반도체 내부에 있는 전하 운반자에 영향을 미치지 못한다 는 것이다. 바딘의 표면 상태 이론은 점 접촉 트랜지스터와 접합 트랜지스터, 1959년 발명된 MOSFET 등 이후 연구의 기반이 된다. [9] Fig. 5. The theory of a field-effect transistor using a thin layer of semiconductor (with no surface states): (a)입력 전압을 인가하지 않았을 때 (b)순전압을 인가하면 n형 반 도체의 표면에 전자가 유도되어 전기 전도도가 커진다 (c) 역전압을 인가하면 전기 전도도가 작아진다[ c 1984 IEEE]. 2. 바딘과 브래튼의 점 접촉 트랜지스터 Fig. 4. Circuit schematic for the modulation of conductance by the field effect : 입력 전압을 통해 반도체 외부 에 전기장을 걸어 주면 얇은 반도체(이 그림에서는 n-type) 막 내부의 다수 전하 운반자(이 경우 전자)들이 표면으로 유도되어 반도체 표면의 전기 전도도가 커진다. 이 전기 전도도의 변화가 출력 전류의 변화를 이끌어내 증폭기의 역할을 수행할 수 있다. 연구팀의 첫 과제는 표면 상태에 의한 전기 차폐를 무효 화하는 것이었다. 여기서 브래튼이 결정적인 발견을 한다. 브래튼은 여러 시도를 하던 중 실리콘 반도체를 전해액 속 에 가라앉히면 전해액의 이온이 표면의 전하 운반자들에 의한 차폐를 무효화시킬수 있다는 사실을 발견했다. 동시 에 바딘은 쇼클리의 구상처럼, 장 효과로 다수 전 운반자를 이용 전기 전도도를 조절하는 것이 효율적이지 않다고 생각 했다. 바딘은 쇼클리의 초기 구상과 전혀 다른, 소수 전하 운반자에 기반한 구조의 트랜지스터를 제안했고, 1947년 11월에 p형 실리콘을 이용한 모델로 소정의 증폭 효과를 확 인한다. 연구 도중 바딘과 브래튼은 저마늄(Germanium)을 양극 산화(anodize)시키면 전해액을 대체할 수 있음을 발견 한다. 더 좋은 증폭 효과를 위해 구성 물질과 환경을 바꾸는 시행 착오 끝에 그들 1947년 12월 n형 저마늄(Germanium) 에 화학적으로 형성된 역전층(inversion layer)을 이용한 반 도체 증폭기를 만들게 된다. 소수 전하 운반자(minority carrier)에 의한 전류에 기반한 첫 번째 반도체 증폭기의 탄생이었다. [9] Fig 6은 점 접촉 트랜지스터의 모식도(위)와 바딘과 브래 튼이 출원한 특허에 포함된 그림(아래)이다. 모든 전압을 인가하기 전의 저마늄[4] n-type이다. 베이스[2]와 이미터

11 5 구조로 특허를 출원한다. 그 직후 2월 같은 벨 연구소의 물리학자 샤이브(John Northrup Shive)가 행한 점 접촉 트랜지스터의 이미터와 컬렉터를 반도체의 반대편에 위치 시키는 실험에서 쇼클리는 기술한 현상이 존재함을 확인했 다. 즉, 반도체 내부로 소수 전하 운반자가 확산되어 전류가 흐를 수 있다는 가능성을 본 것이다. 쇼클리는 접합 반도체 증폭기가 이론적으로 가능함은 확인했으나 베이스 내부로 확산된 소수 전하 운반자가 이미터와 컬렉터 사이 연결을 만들 만큼 오래 생존하지 못하는 문제로 즉시 구현하지는 못했다. 쇼클리의 이론은 이후에 다른 과학자들의 연구로 부터 기술적인 도움을 받아 1951년 n-p-n형 접합 트랜지스 터가 구현된다. Fig 7은 쇼클리의 특허에 포함된 그림이다. 이미터에서 Fig. 6. Schematic representation of the semiconductor point-contact amplifier 전극[5] 사이에 순바이어스(forward bias)를 인가하면 이 미터 전극으로부터 소수 전하 운반자인 양공(hole)이 저마 늄으로 확산되어 얇은 p-type역전층[3](inversion layer)을 형성한다. 역바이어스(reverse bias)가 인가되어 전자의 과 잉 상태에 둘러싸여 있던 컬렉터 전극은 이 역전층을 통해 이미터로부터 전류가 흐를 수 있게 된다. 역전층 채널의 크기, 즉 저항은 이미터에 걸린 순바이어스의 크기에 의존 Fig. 7. Schematic representation of the semiconductor junction amplifier 하고 역전층 채널의 저항은 컬렉터에 도달하는 전압, 전류, 전력에 큰 영향을 준다. 이미터에 입력된 작은 신호가 컬 렉터에 큰 신호 도달하게 되는 증폭기의 역할을 수행할 수 있는 것이다. [7] [8] 3. 쇼클리의 접합 트랜지스터 무슨 이유에서인지, 바딘과 브래튼은 그들의 점 접촉 트 랜지스터 특허에 쇼클리의 이름을 넣지 않았고, 이것은 쇼 클리에게 큰 절망과 자극을 주었다. 쇼클리는 곧바로 더 나은 반도체 증폭기를 발명하기로 결심한다. 쇼클리는 점 접촉 트랜지스터가 발명되기 1주일 전에 이미 n-p-n 형태 의 접합 반도체 증폭기에 관한 구상을 해 놓은 상태였다. 이 접합 트랜지스터의 원리는 점 접촉 트랜지스터에 사용 된 물리적 원리를 넘어서는데, 이미터에서 나온 소수 전하 베이스로 전자가 진입하려면 p형 반도체의 불순물에 의해 형성된 퍼텐셜 장벽을 넘어야 한다. 베이스인 p형 반도체 의 두께가 충분히 얇다면 이 퍼텐셜 장벽이 높지 않다. 이 미터-베이스에 순바이어스를 인가하면 베이스에 양전위가 인가되어 양공이 쉽게 p형 반도체 안으로 흐른다. p형 반도 체 안으로 확산된 양공은 전자 입장에서의 퍼텐셜 장벽을 낮추고, 이것은 퍼텐셜 장벽을 넘어오는 전자의 흐름을 지 수함수적으로 증가시킨다. n형 반도체로부터 p형 반도체로 확산되어 나온 전자는 p형 반도체에서 흘러가는 도중, 베 이스-컬렉터에 인가된 역바이어스에 의해 컬렉터 쪽으로 가속된다. 이미터에서 컬렉터로 유입되는 전자 수가 바이 어스의 크기에 의해 지수함수적으로 결정되고, 전자 수는 곧 컬렉터에서 출력되는 전압과 전류, 전력에 직결된다. 즉, 바이어스로서 입력되는 신호가 컬렉터에서 큰 신호로 증폭 되어 출력되는 것이다. 이미터와 베이스 사이 전류는 다음 과 같이 주어진다. 운반자가 트랜지스터의 베이스 층에 이미 존재하는 다수 I = I0 (eqv /kt 1) 전하 운반자 속으로 확산되는 현상에 대한 이해가 필요하 이 때 I0 은 포화 상태의 전류, q는 전자의 전하량 절대값, V 는 이미터에 인가된 바이어스, k는 볼츠만 상수, T 는 절대 온도이다. [10] [11] 기 때문이다. 쇼클리는 이 현상에 대해 확신하지 못하고 있었으나, 우선적으로 1948년 1월 접합 반도체 증폭기의

12 6 REFERENCES 4. 트랜지스터 발명 이후 트랜지스터가 발명된 지 얼마 되지 않아 트랜지스터만의 우수성이 부각되었고, 트랜지스터는 곧 진공관의 왕위를 계 [1] J. Maxwell, A Treatise on Electricity and Magnetism, 1873 승했다. 바딘-브래튼의 점 접촉 트랜지스터는 그 효율성이 [2] H. Hertz, On Electromagnetic Effects Produced by 쇼클리의 접합 트랜지스터보다 좋지 않아 상용화되지 않았 Electrical Disturbances in Insulators, Sitzungsber. 다. 기존 진공관의 크기, 전력, 열, 수명 등 단점의 해결은 d. Berl. Akad. d. Wiss., 1887 소자의 소형화와 함께 기기의 소형화도 이끌어냈다. 크고 [3] HJ Schmitt, The Rise and Fall of the Vacuum- 무거워 들고 다니기 힘들었던 라디오는 배터리로 작동하며 Tube, Overview IHF Museum, RWTH-Aachen, 주머니에 들어가는 사이즈가 되었고, 집 한채 면적을 전부 2005 차지하던 컴퓨터는 점차 소형화되어 대중들에게 개인 컴 [4] IEEE, Crystal radio detector [ cat s whisker ]: the 퓨터로서 자리잡게 되었다. 현재까지 이어지는 트랜지스터 first wireless device, IEEE Circuits and Devices 시대가 열린 것이다. Magazine, Volume: 17, Issue: 2, March 2001 [5] J. A. Fleming, On Electric Discharge Between Elec- IV. 결론 19세기 후반부터 시작된 전자공학의 시대는 20세기를 전기와 후기로 나누어 지배한 진공관과 트랜지스터를 거쳐 오늘날까지 이어지고 있다. 전자기파의 발견으로부터 이를 통신에 적용하려 한 마르코니의 창의성, 지나칠 만한 발견 으로부터 위대한 발명을 해낸 플레밍의 재치, 대단한 발명 품에 위축되지 않고 오히려선 2년 만에 그것을 개선하여 내놓은 포리스트의 세밀함, 기존의 이론과 방식을 과감히 포기하고 새로운 소자를 창조한 바딘과 브래튼의 대담함, trodes Temperatures in Air and High Vacua, Proceedings of the Royal Society 47: , 1890 [6] IEEE, The Audion- detector and amplifier, Proceedings of the IEEE, Volume: 86, Issue: 9, 1998 [7] J. Bardeen and W. Brattain, The Transistor, A Semi-Conductor Triode, Physics Review, Volume: 74, , 1948 [8] H. R. Huff, JOHN BARDEEN and TRANSISTOR PHYSICS, CHARACTERIZATION AND METROLOGY FOR ULSI TECHNOLOGY 동료들의 배신에도 이를 원동력 삼아 더 위대한 발명을 해 낸 쇼클리의 투지, 지난 백년간의 전자공학 역사에는 이 논 AIP Conference Proceedings, Volume: 550, 3-32, 문에서 다룬 굵직한 인물들과 함께 미처 다루지 못한 과학 [9] M. Riordan, L. Hoddeson and C. Herring, The 자와 발명가들의 위대한 업적들이 담겨 있다. 거인의 어깨 invention of the transistor, Reviews of Modern 위에 올라섰던 난쟁이들은 오늘날의 우리들을 위해 거인의 Physics, Volume: 71, No. 2, Centenary, 1999 어깨가 되었다 [10] W. Shockley, The Theory of p-n Junctions in Semi- 컴퓨터로 대표되는 현대의 문명은 트랜지스터가 있기에 성 conductors and p-n Junction Transistors, Bell Labs 립한다. 만약 진공관을 개선하고자 하는 전 시대 사람들의 Technical Journal, Volume: 28, Issue 3, , 의지가 없었다면 트랜지스터는 탄생하지 못했을 것이다 인류는 트랜지스터라는 벽돌로 50년 넘게 문명을 지어 오 [11] W. Shockley, The Path to The Conception of The 고 있다. 진공관이 50년 후 트랜지스터로 발전되었듯이, Junction Transistor, IEEE Trans. Electron De- 현재의 트랜지스터도 발전하는 것이 바람직할 것이다. vices, ED-23, , 1976

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

<5BB0EDB3ADB5B55D32303131B3E2B4EBBAF12DB0ED312D312DC1DFB0A32DC0B6C7D5B0FAC7D02D28312E28322920BAF2B9F0B0FA20BFF8C0DAC0C720C7FCBCBA2D3031292D3135B9AEC7D72E687770>

<5BB0EDB3ADB5B55D32303131B3E2B4EBBAF12DB0ED312D312DC1DFB0A32DC0B6C7D5B0FAC7D02D28312E28322920BAF2B9F0B0FA20BFF8C0DAC0C720C7FCBCBA2D3031292D3135B9AEC7D72E687770> 고1 융합 과학 2011년도 1학기 중간고사 대비 다음 글을 읽고 물음에 답하시오. 1 빅뱅 우주론에서 수소와 헬륨 의 형성에 대한 설명으로 옳은 것을 보기에서 모두 고른 것은? 4 서술형 다음 그림은 수소와 헬륨의 동위 원 소의 을 모형으로 나타낸 것이. 우주에서 생성된 수소와 헬륨 의 질량비 는 약 3:1 이. (+)전하를 띠는 양성자와 전기적 중성인 중성자

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Heinrich Rudolf Hertz (1857 1894) proved the existence of the electromagnetic waves theorized by James Clerk Maxwell's electromagnetic theory of light. Guglielmo Marconi (1874 1937) 1909 Nobel Prize in

More information

01....-1

01....-1 ISSN 1016-9288 제41권 7호 2014년 7월호 제 4 1 권 제 7 호 ( ) 2 0 1 4 년 7 월 E M P 영 향 과 필 터 개 발 동 향 The Magazine of the IEIE EMP 영향과 필터 개발동향 vol.41. no.7 전자부품에 미치는 고에너지 전자기파의 영향 전도성 전자파 해석 연구 동향 분석 HEMP 필터 개발 동향

More information

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26 5월전체 2005.6.9 5:7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26개 지역지식재산센터 를 통해 발명가와 중소기업들에게 기술개발에서 선진국은 첨단기술을 바탕으로

More information

회원번호 대표자 공동자 KR000****1 권 * 영 KR000****1 박 * 순 KR000****1 박 * 애 이 * 홍 KR000****2 김 * 근 하 * 희 KR000****2 박 * 순 KR000****3 최 * 정 KR000****4 박 * 희 조 * 제

회원번호 대표자 공동자 KR000****1 권 * 영 KR000****1 박 * 순 KR000****1 박 * 애 이 * 홍 KR000****2 김 * 근 하 * 희 KR000****2 박 * 순 KR000****3 최 * 정 KR000****4 박 * 희 조 * 제 회원번호 대표자 공동자 KR000****1 권 * 영 KR000****1 박 * 순 KR000****1 박 * 애 이 * 홍 KR000****2 김 * 근 하 * 희 KR000****2 박 * 순 KR000****3 최 * 정 KR000****4 박 * 희 조 * 제 KR000****4 설 * 환 KR000****4 송 * 애 김 * 수 KR000****4

More information

2. 4. 1. 업무에 활용 가능한 플러그인 QGIS의 큰 들을 찾 아서 특징 설치 마 폰 은 스 트 그 8 하 이 업무에 필요한 기능 메뉴 TM f K 플러그인 호출 와 TM f K < 림 > TM f K 종항 그 중에서 그 설치 듯 할 수 있는 플러그인이 많이 제공된다는 것이다. < 림 > 다. 에서 어플을 다운받아 S or 8, 9 의 S or OREA

More information

지도서 14단원

지도서 14단원 14-1 14-2 14-3 14-4 14-1 14-2 14-2 14-3 14-3 14-4 14-1 Zn ± Zn ± 14-2 (-) (+) H H± H± H± H± W W e s 14-2 (+) (-) 1 S E B m 0 VIR V R I V I R DQ NqLDa DI NqLDa Dt Dt Da INqva q N PVI W P t PFv 14-3 F qe

More information

박선영무선충전-내지

박선영무선충전-내지 2013 Wireless Charge and NFC Technology Trend and Market Analysis 05 13 19 29 35 45 55 63 67 06 07 08 09 10 11 14 15 16 17 20 21 22 23 24 25 26 27 28 29 30 31 32 33 36 37 38 39 40

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

PowerPoint Presentation

PowerPoint Presentation 전자회로 SEMICONDUCTOR P 1 @ INDEX 1. Conductors, Insulators, Semiconductors 2. Conduction in Semiconductors 3. The N-Type and P-Type Semiconductors 4. The PN Junction P 2 1.1 Conductors Insulators Semiconductors

More information

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림

. 서론,, [1]., PLL.,., SiGe, CMOS SiGe CMOS [2],[3].,,. CMOS,.. 동적주파수분할기동작조건분석 3, Miller injection-locked, static. injection-locked static [4]., 1/n 그림 THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Feb.; 27(2), 170175. http://dx.doi.org/10.5515/kjkiees.2016.27.2.170 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

전기전자뉴스레터-여름호수정2

전기전자뉴스레터-여름호수정2 EE-Newsletter 2011. Volume 2 02 04 05 06 2011 / SUMMER 08 09 10 12 14 16 18 20 02 / EE Newsletter Korea Advanced Institute of Science and Technology / 03 04 / EE Newsletter Korea Advanced Institute of

More information

전전컴입문

전전컴입문 Copyright, 2014 Multimedia Lab., University of Seoul Introduction to Electrical and Computer Engineering Seong Jong Choi, PhD chois@uos.ac.kr Multimedia Lab. Dept. of Electrical and Computer Eng. University

More information

슬라이드 1

슬라이드 1 Chapter 3. Bipolar Junction Transistor Golden ule : Bipolar Junction Transistor C mitter : 화살표표시, forward bias V C C V Near Zero : Large C Bias : F ~ infinite C = h F B = C + B V F V C - h F 값은많이변하기때문에,

More information

전전컴입문

전전컴입문 Copyright, 2015 Multimedia Lab., University of Seoul Introduction to Electrical and Computer Engineering Seong Jong Choi, PhD chois@uos.ac.kr Multimedia Lab. (http://mmlab.uos.ac.kr) Dept. of Electrical

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 25(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Mar.; 25(3), 304310. http://dx.doi.org/10.5515/kjkiees.2014.25.3.304 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

- 2 -

- 2 - - 1 - - 2 - - - - 4 - - 5 - - 6 - - 7 - - 8 - 4) 민원담당공무원 대상 설문조사의 결과와 함의 국민신문고가 업무와 통합된 지식경영시스템으로 실제 운영되고 있는지, 국민신문 고의 효율 알 성 제고 등 성과향상에 기여한다고 평가할 수 있는지를 치 메 국민신문고를 접해본 중앙부처 및 지방자 였 조사를 시행하 였 해 진행하 월 다.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

October Vol. 42 13

October Vol. 42 13 12 Journal of Communications & Radio Spectrum October Vol. 42 13 14 Journal of Communications & Radio Spectrum October Vol. 42 15 16 Journal of Communications & Radio Spectrum October Vol. 42 17 18 Journal

More information

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소

서강대학교 기초과학연구소대학중점연구소 심포지엄기초과학연구소 2012 년도기초과학연구소 대학중점연구소심포지엄 마이크로파센서를이용한 혈당측정연구 일시 : 2012 년 3 월 20 일 ( 화 ) 14:00~17:30 장소 : 서강대학교과학관 1010 호 주최 : 서강대학교기초과학연구소 Contents Program of Symposium 2 Non-invasive in vitro sensing of D-glucose in

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 16 Jul.; 27(7), 64662. http://dx.doi.org/./kjkiees.16.27.7.646 ISSN 1226-3133 (Print)ISSN 2288-226 (Online) 2D Microwave Image

More information

오토 2, 3월호 내지최종

오토 2, 3월호 내지최종 Industry Insight 인사이드 블루투스 자동차와 블루투스의 공존법칙 운전 중 휴대전화 사용을 금지하는 법률이 세계적으로 확산되고 있으며, 블루투스(Bluetooth) 기반의 핸즈프리 기능을 이용하는 것이 이에 대한 확실한 대안으로 자리잡았다. 그러나 차기 무선 멀티미디어 스트리밍에 관해서는 어떤 일이 일어날 지 아무도 알 수 없다. 글 윤 범 진 기자

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 3, Mar (NFC: non-foster Circuit).,. (non-foster match THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Mar.; 26(3), 283 291. http://dx.doi.org/10.5515/kjkiees.2015.26.3.283 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Negative

More information

141018_m

141018_m DRAGONS JEONNAM DRAGONS FOOTBALL CLUB MATCH MAGAZINE VOL.136 / 2014.10.16 Preview Review News Poster PREVIEW K LEAGUE CLASSIC 32R JEONNAM VS SEOUL / 14.10.18 / 14:00 / 광양축구전용구장 서울과 뜨거운 한판 승부! 전남드래곤즈가 오는

More information

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI.

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100  / . ( )....,,,, EMI, RFI. , ?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC.. 1 1. 0%.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI. . 0.. NFPA IEEE 5.0. NEC " NEC 50.56 5. 5.0.".?.??

More information

<B3EDB9AEC1FD5F3235C1FD2E687770>

<B3EDB9AEC1FD5F3235C1FD2E687770> 오용록의 작품세계 윤 혜 진 1) * 이 논문은 생전( 生 前 )에 학자로 주로 활동하였던 오용록(1955~2012)이 작곡한 작품들을 살펴보고 그의 작품세계를 파악하고자 하는 것이다. 한국음악이론이 원 래 작곡과 이론을 포함하였던 초기 작곡이론전공의 형태를 염두에 둔다면 그의 연 구에서 기존연구의 방법론을 넘어서 창의적인 분석 개념과 체계를 적용하려는

More information

Microsoft PowerPoint - Chapter4&6(강의용)

Microsoft PowerPoint - Chapter4&6(강의용) h. 4 반도체소자 반도체 : 상온에서도체와부도체의중간쯤에해당하는전기전도도를가지는물질 불순물첨가 (doping) 또는결함으로인해서전기전도도가매우크게변함. 주기율표에서 4 족, 3-5 족, 2-6 족화합물 (Si, Ge, GaAs, AlAs etc. ) c = 6.708 Å 1 원자가규칙적정렬을하는고체에서전자의상태 : 에너지밴드 E U E g a E V a 0

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론

이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 이도경, 최덕재 Dokyeong Lee, Deokjai Choi 1. 서론 2. 관련연구 2.1 MQTT 프로토콜 Fig. 1. Topic-based Publish/Subscribe Communication Model. Table 1. Delivery and Guarantee by MQTT QoS Level 2.1 MQTT-SN 프로토콜 Fig. 2. MQTT-SN

More information

, ( ) 1) *. 18..,.,. I.. (2002), 35.69% %, 38.1%, 34.74%, 35.45%, 37.54%, 37.6%, 44.07%, 34.54%, 41.78%, 37.9%, 35.8%, 37.11%, 38.4

, ( ) 1) *. 18..,.,. I.. (2002), 35.69% %, 38.1%, 34.74%, 35.45%, 37.54%, 37.6%, 44.07%, 34.54%, 41.78%, 37.9%, 35.8%, 37.11%, 38.4 , 40 1 2 (2006 6 ) 1) *. 18..,.,. I.. (2002), 35.69%. 30.46%, 38.1%, 34.74%, 35.45%, 37.54%, 37.6%, 44.07%, 34.54%, 41.78%, 37.9%, 35.8%, 37.11%, 38.4%, 38.82%, 39.99%, 42.9%.. ( ). 18, * 214, 40 1 2..

More information

그룹웨어와 XXXXX 제목 예제

그룹웨어와 XXXXX 제목 예제 데이터통신 부호화 (encoding) 부호화 (Encoding) 의개념 정보 Encoder 신호 1 Digital - to - Digital 2 Analog - to - Digital 3 Digital - to - Analog 4 Analog - to - Analog 2 1 Digital-to-Digital Encoding Digital 정보를 Digital

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

*074-081pb61۲õðÀÚÀ̳ʸ

*074-081pb61۲õðÀÚÀ̳ʸ 74 October 2005 현 대는 이미지의 시대다. 영국의 미술비평가 존 버거는 이미지를 새롭 게 만들어진, 또는 재생산된 시각 으로 정의한 바 있다. 이 정의에 따르 면, 이미지는 사물 그 자체가 아니라는 것이다. 이미지는 보는 사람의, 혹은 이미지를 창조하는 사람의 믿음이나 지식에 제한을 받는다. 이미지는 언어, 혹은 문자에 선행한다. 그래서 혹자는

More information

¹Ì·¡Æ÷·³-5±âºê·Î¼Å_1228.ps

¹Ì·¡Æ÷·³-5±âºê·Î¼Å_1228.ps 미래에 대해 얼마나 알고 계십니까? 새로운 미래, 어떻게 맞이할 것입니까? 오늘보다 나은 내일, 더 큰 미래를 열어갑시다 2014년 아시아 세계경제 33% 차지 / 광컴퓨터 상용화 2016년 대한민국 경제활동 인구 감소 시작 2021년 인공지능 로봇 실용화 2024년 유전자 치료와 암 정복 가능 2025년 중국 세계 1위 경제대국 / 세계인구 80억 돌파 2030년

More information

135 Jeong Ji-yeon 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 머리말 협저불상( 夾 紵 佛 像 )이라는 것은 불상을 제작하는 기법의 하나로써 삼베( 麻 ), 모시( 苧 ), 갈포( 葛 ) 등의 인피섬유( 靭 皮 纖 維 )와 칠( 漆 )을 주된 재료

135 Jeong Ji-yeon 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 머리말 협저불상( 夾 紵 佛 像 )이라는 것은 불상을 제작하는 기법의 하나로써 삼베( 麻 ), 모시( 苧 ), 갈포( 葛 ) 등의 인피섬유( 靭 皮 纖 維 )와 칠( 漆 )을 주된 재료 MUNHWAJAE Korean Journal of Cultural Heritage Studies Vol. 47. No. 1, March 2014, pp.134~151. Copyright 2014, National Research Institute of Cultural Heritage 심향사 극락전 협저 아미타불의 제작기법에 관한 연구 정지연 a 明 珍 素 也

More information

09È«¼®¿µ 5~152s

09È«¼®¿µ5~152s Korean Journal of Remote Sensing, Vol.23, No.2, 2007, pp.45~52 Measurement of Backscattering Coefficients of Rice Canopy Using a Ground Polarimetric Scatterometer System Suk-Young Hong*, Jin-Young Hong**,

More information

<C1DF29B1E2BCFAA1A4B0A1C1A420A8E85FB1B3BBE7BFEB20C1F6B5B5BCAD2E706466>

<C1DF29B1E2BCFAA1A4B0A1C1A420A8E85FB1B3BBE7BFEB20C1F6B5B5BCAD2E706466> 01 02 8 9 32 33 1 10 11 34 35 가족 구조의 변화 가족은 가족 구성원의 원만한 생활과 사회의 유지 발전을 위해 다양한 기능 사회화 개인이 자신이 속한 사회의 행동 가구 가족 규모의 축소와 가족 세대 구성의 단순화는 현대 사회에서 가장 뚜렷하게 나 1인 또는 1인 이상의 사람이 모여 주거 및 생계를 같이 하는 사람의 집단 타나는 가족 구조의

More information

민속지_이건욱T 최종

민속지_이건욱T 최종 441 450 458 466 474 477 480 This book examines the research conducted on urban ethnography by the National Folk Museum of Korea. Although most people in Korea

More information

춤추는시민을기록하다_최종본 웹용

춤추는시민을기록하다_최종본 웹용 몸이란? 자 기 반 성 유 형 밀 당 유 형 유 레 카 유 형 동 양 철 학 유 형 그 리 스 자 연 철 학 유 형 춤이란? 물 아 일 체 유 형 무 아 지 경 유 형 댄 스 본 능 유 형 명 상 수 련 유 형 바 디 랭 귀 지 유 형 비 타 민 유 형 #1

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

16<C624><D22C><ACFC><D0D0> <ACE0><B4F1><BB3C><B9AC><2160>_<BCF8><CC45>.pdf

16<C624><D22C><ACFC><D0D0> <ACE0><B4F1><BB3C><B9AC><2160>_<BCF8><CC45>.pdf I I 02 03 04 05 06 II 07 08 09 III 10 11 12 13 IV 14 15 16 17 18 a b c d 410 434 486 656 (nm) Structure 1 PLUS 1 1. 2. 2 (-) (+) (+)(-) 2 3. 3 S. T.E.P 1 S. T.E.P 2 ) 1 2 (m) 10-11 10-8 10-5 C 10-2 10

More information

½Éº´È¿ Ãâ·Â

½Éº´È¿ Ãâ·Â Standard and Technology of Full-Dimension MINO Systems in LTE-Advances Pro Massive MIMO has been studied in academia foreseeing the capacity crunch in the coming years. Presently, industry has also started

More information

ApplicationKorean.PDF

ApplicationKorean.PDF Sigrity Application Notes Example 1 : Power and ground voltage fluctuation caused by current in a via passing through two metal planes Example 2 : Power/ground noise and coupling in an integrated-circuit

More information

Microsoft PowerPoint - 3. BJT

Microsoft PowerPoint - 3. BJT BJT (Bipolar Junction Transistor) BJT 의구조및동작모드 BJT 의구조및동작모드 실제 BJT 는그림 3-1(a) 와같이이미터영역과컬렉터영역의기하학적구조가다르며, 세영역의도핑농도도각기다르게만들어진다. 도핑농도 : ( 이미터 )>( 베이스 )>( 컬렉터 ) 이미터 : 전류운반캐리어 ( 전자또는정공 ) 를제공 컬렉터 : 베이스영역을지나온캐리어가모이는영역

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

팸 2015 Vol.12 Special Feature Special Interview Contents 4 I 5 8I 9 10 I 11 12 I 13 SPECIAL INTERVIEW 14 I 15 16 I 17 18 I 19 Interview Int Int In I e te w w t Int Int w te te w 20 I 21 22 I

More information

152*220

152*220 152*220 2011.2.16 5:53 PM ` 3 여는 글 교육주체들을 위한 교육 교양지 신경림 잠시 휴간했던 우리교육 을 비록 계간으로이지만 다시 내게 되었다는 소식을 들으니 우 선 반갑다. 하지만 월간으로 계속할 수 없다는 현실이 못내 아쉽다. 솔직히 나는 우리교 육 의 부지런한 독자는 못 되었다. 하지만 비록 어깨너머로 읽으면서도 이런 잡지는 우 리

More information

**09콘텐츠산업백서_1 2

**09콘텐츠산업백서_1 2 2009 2 0 0 9 M I N I S T R Y O F C U L T U R E, S P O R T S A N D T O U R I S M 2009 M I N I S T R Y O F C U L T U R E, S P O R T S A N D T O U R I S M 2009 발간사 현재 우리 콘텐츠산업은 첨단 매체의 등장과 신기술의 개발, 미디어 환경의

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

할렐루야10월호.ps, page 1-12 @ Normalize ( 할 437호 )

할렐루야10월호.ps, page 1-12 @ Normalize ( 할 437호 ) www.hcc.or.kr news@hcc.or.kr Hallelujah News PHOTO NEWS 새벽 이슬 같은 주의 청년들이 주께 나오는도다. 제437호 2007년 10월 7일 (주일) 화요청년찬양부흥회 날짜: 10월 16일, 11월 6일, 11월 20일 12월 4일, 12월 18일 (매달 1 3주 화요일) 장소: 할렐루야교회

More information

03 장태헌.hwp

03 장태헌.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2013 Aug.; 24(8), 772 780. http://dx.doi.org/10.5515/kjkiees.2013.24.8.772 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) HEMP

More information

<BCBCC1BEB4EB BFE4B6F72E706466>

<BCBCC1BEB4EB BFE4B6F72E706466> 세종대학교요람 Sejong University 2017 2017 Sejong University 4 SEJONG UNIVERSITY www.sejong.ac.kr 5 2017 Sejong University 8 SEJONG UNIVERSITY 10 SEJONG UNIVERSITY www.sejong.ac.kr 11 12 SEJONG UNIVERSITY www.sejong.ac.kr

More information

나하나로 5호

나하나로 5호 Vol 3, No. 1, June, 2009 Korean Association of CardioPulmonary Resuscitation Korean Association of CardioPulmonary Resuscitation(KACPR) Newsletter 01 02 03 04 05 2 3 4 대한심폐소생협회 소식 교육위원회 소식 일반인(초등학생/가족)을

More information

학습영역의 Taxonomy에 기초한 CD-ROM Title의 효과분석

학습영역의 Taxonomy에 기초한 CD-ROM Title의 효과분석 ,, Even the short history of the Web system, the techniques related to the Web system have b een developed rapidly. Yet, the quality of the Webbased application software has not improved. For this reason,

More information

Ch 양자역학의응용 20 c 이후과학과기술의결합 ã ã ã ã ã 라디오, TV, 무선통신고체물리 반도체산업초전도체, 자성체레이저 광통신, 정밀측정핵 입자물리학 핵무기, 핵발전 ã 화학공학, 생명과학 ( 공학 ) 1

Ch 양자역학의응용 20 c 이후과학과기술의결합 ã ã ã ã ã 라디오, TV, 무선통신고체물리 반도체산업초전도체, 자성체레이저 광통신, 정밀측정핵 입자물리학 핵무기, 핵발전 ã 화학공학, 생명과학 ( 공학 ) 1 Ch. 6-4. 양자역학의응용 20 c 이후과학과기술의결합 라디오, TV, 무선통신고체물리 반도체산업초전도체, 자성체레이저 광통신, 정밀측정핵 입자물리학 핵무기, 핵발전 화학공학, 생명과학 ( 공학 ) 1 양자역학의응용 원자의전자궤도 화학결합 : 분자 고체물리 : 도체, 유전체, 반도체, 자성체 Laser 의발명과응용 핵에너지기술 핵무기, 원자로 2 상자안전자의에너지준위

More information

<3635B1E8C1F8C7D02E485750>

<3635B1E8C1F8C7D02E485750> 역사로 읽는 우리 과학 교사용 지도서 자연 6-1 초등학교 교육과정 해설(Ⅱ) STS 프로그램이 중학생 과학에 관련된 태도에 미치는 효과 관찰 분류 측정훈련이 초등학생의 과학 탐구 능력과 태도에 미치는 영향 국민학교 아동의 과학 탐구능력과 태도 향상을 위한 실 험자료의 적용 과학사 신론 중 고등학생의 과학에 대한 태도 연구 과학사를 이용한 수업이 중학생의 과학과

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

에너지경제연구제 16 권제 1 호 Korean Energy Economic Review Volume 16, Number 1, March 2017 : pp. 95~118 학술 탄소은행제의가정용전력수요절감효과 분석 1) 2) 3) * ** *** 95

에너지경제연구제 16 권제 1 호 Korean Energy Economic Review Volume 16, Number 1, March 2017 : pp. 95~118 학술 탄소은행제의가정용전력수요절감효과 분석 1) 2) 3) * ** *** 95 에너지경제연구제 16 권제 1 호 Korean Energy Economic Review Volume 16, Number 1, March 2017 : pp. 95~118 학술 탄소은행제의가정용전력수요절감효과 분석 1) 2) 3) * ** *** 95 Intended Nationally Determined Contributions 96 97 98 99 100 101

More information

Journal of Life Science 2011, Vol. 21. No μ μ

Journal of Life Science 2011, Vol. 21. No μ μ Journal of Life Science 2011 Vol. 21. No. 8. 1120~1126 ISSN : 1225-9918 DOI : http://dx.doi.org/10.5352/jls.2011.21.8.1120 μ μ μ α β Journal of Life Science 2011, Vol. 21. No. 8 1121 μ μ 1122 생명과학회지 2011,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

PathEye 공식 블로그 다운로드 받으세요!! 지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다.

PathEye 공식 블로그 다운로드 받으세요!!   지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다. PathEye Mobile Ver. 0.71b 2009. 3. 17 By PathEye 공식 블로그 다운로드 받으세요!! http://blog.patheye.com 지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다. PathEye 설치 1/3 최종 배포 버전을 다 운로드 받습니다. 다운로드된 파일은 CAB 파일입니다. CAB 파일에는

More information

untitled

untitled 朝 鮮 後 期 白 羊 寺 의 僧 役 에 대한 고찰* 1) 김 문 경(백양사박물관) Ⅰ. 머리말 Ⅱ. 麗 末 鮮 初 佛 敎 界 와 白 羊 寺 Ⅲ. 白 羊 寺 의 僧 役 負 擔 Ⅳ. 僧 役 減 免 의 背 景 과 推 移 Ⅴ. 맺음말 白 羊 寺 는 백제 무왕 33년(632) 신라의 異 僧 如 幻 禪 師 에 의해 창건되었다. 고려 말부터 현대에

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

08 조영아.hwp

08 조영아.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 6370. http://dx.doi.org/10.5515/kjkiees.2015.26.1.63 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) 900 MHz

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

1

1 Seoul Bar Association 2016. 02. 2015 March_2 2016 February_2 03_ 04_ 05_ 06_ 12_ 18_ 20_ 22_ 24_ 25_ 26_ 28_ 32_ 39_ 40_ 41_ 44_ 50_ 2 _ The Letter from the executives 2016 February_3 My case Stories midius

More information

세종대 요람

세종대 요람 Sejong University 2016 2016 Sejong University 4 SEJONG UNIVERSITY www.sejong.ac.kr 5 8 SEJONG UNIVERSITY 2016 Sejong University 10 SEJONG UNIVERSITY www.sejong.ac.kr 11 12 SEJONG UNIVERSITY www.sejong.ac.kr

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

미래포럼수정(2.29) 2012.12.29 3:36 PM 페이지3 위너스CTP1번 2540DPI 200LPI 미래에 대해 얼마나 알고 계십니까? 새로운 미래, 어떻게 맞이할 것입니까? 오늘보다 나은 내일, 더 큰 미래를 열어갑시다 2014년 아시아 세계경제 33% 차지

미래포럼수정(2.29) 2012.12.29 3:36 PM 페이지3 위너스CTP1번 2540DPI 200LPI 미래에 대해 얼마나 알고 계십니까? 새로운 미래, 어떻게 맞이할 것입니까? 오늘보다 나은 내일, 더 큰 미래를 열어갑시다 2014년 아시아 세계경제 33% 차지 미래포럼수정(2.29) 2012.12.29 3:36 PM 페이지3 위너스CTP1번 2540DPI 200LPI 미래에 대해 얼마나 알고 계십니까? 새로운 미래, 어떻게 맞이할 것입니까? 오늘보다 나은 내일, 더 큰 미래를 열어갑시다 2014년 아시아 세계경제 33% 차지 / 광컴퓨터 상용화 2016년 대한민국 경제활동 인구 감소 시작 2021년 인공지능 로봇

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

¼�È«¼® Ãâ·Â

¼�È«¼® Ãâ·Â Changes in the National Informatization Strategy and the Road to u-korea IT paradigms change quality and pattern of individuals and businesses, and influential over national strategies and policies. This

More information

1

1 Seoul Bar Association 2015. 12. 2015 March_2 03_ 04_ 07_ 08_ 10_ 11_ 12_ 16_ 18_ 21_ 22_ 24_ 26_ 28_ 31_ 41_ 44_ 48_ 49_ 55_ 57_ 71_ 2 _ The Letter from the executives 2015 December_3 TEN MAJOR NEWS NEWS

More information

1

1 Seoul Bar Association 2016. 05. 2015 March_1 2016 February_1 03_ 04_ 05_ 06_ 08_ 10_ 13_ 18_ 22_ 24_ 26_ 28_ 29_ 30_ 32_ 35_ 39_ 40_ 46_ 49_ 2 _ The Letter from the executives 2016 May_3 Theme Column 4

More information

팬도캐드소개

팬도캐드소개 제목 : 4 층 50Ω, 55Ω, 90Ω Diff,100Ω Diff (1.46T) PCB 재질 : FR4( Er = 4.4 ) 외층 / 내층 : 1 Oz PCB 두께 : 1.46T ±10% CCL= 1.2T C 1/1 L3 0.08mm 0.08mm 0.09mm 0.09mm 0.26mm 0.26mm 프리프레그 (PrePreg) : 1080 0.06 mm, 2116

More information

歯이

歯이 Korea Marketing Best Awards 1. CI 2002 2 3 5 / - Cyber 6 7 Best Goods ( ) 8 11 FDA 1 6 7 8 [ ] CI 11 100 12 ( ) 12 2001 5 7 1999 3 ( ) 7 12 ISO 9001 2000 2. 경영 리더십 1) 경영 철학 경영 철 학 CEO 경영철학 건강한 행복의

More information

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

대한한의학원전학회지24권6호-전체최종.hwp

대한한의학원전학회지24권6호-전체최종.hwp 小兒藥證直訣 의 五臟辨證에 대한 小考 - 病證과 處方을 중심으로 1 2 慶熙大學校大學校 韓醫學科大學 原典學敎室 ㆍ 韓醫學古典硏究所 白裕相1,2*1)2) A study on The Diagnosis and Treatment Using The Theory of Five Organs in Soayakjeungjikgyeol(小兒藥證直訣) 1 Dept. of Oriental

More information

광운소식65호출력

광운소식65호출력 www.kw.ac.kr 나는, 딱딱한 IT는 가라! 즐거운 IT세상을 만드는 ITist나의 비전은 광운에서 시작된다! talk@kwangwoon 누구를 위한 혁신인가 산학협력의 Gateway, 일류기업형 산학협력단을 꿈꾼다! 내 인생의 오아시스를 거닐다 enjoy@kwangwoon 유행성 안질환 act@kwangwoon 정보제어공학과 01학번 이주영 교육인적자원부

More information

- 4 -

- 4 - - 4 - Abstract - 5 - - 6 - - 7 - 국문요약 - 8 - - 9 - 제목차례 Abstract ----------------------------------------------- 5 국문요약 ---------------------------------------------- 8 서론 -------------------------------------------------

More information

06_±è¼öö_0323

06_±è¼öö_0323 166 167 1) 2) 3) 4) source code 5) object code PC copy IP Internet Protocol 6) 7) 168 8) 9)10) 11) 12)13) / / 14) 169 PC publisher End User distributor RPG Role-Playing Game 15) FPS First Person Shooter

More information

1

1 Seoul Bar Association 2016. 04. 2015 March_2 2016 February_2 03_ 04_ 05_ 06_ 08_ 14_ 18_ 19_ 20_ 22_ 24_ 26_ 28_ 29_ 30_ 33_ 35_ 38_ 39_ 44_ 46_ 2 _ The Letter from the executives 2016 April_3 Theme Column

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information