목차 1. 기본설정 1-1. 설치해야할프로그램및파일 2. 문서읽는법 Cygwin 설치법 GNUARM 설치법 AT91SAM7S256 드라이버설치및컴퓨터연결 2-1. 개요 2-2. 회로도읽기 2-3. 데이터시트읽기 2-4. 타이밍차트읽

Size: px
Start display at page:

Download "목차 1. 기본설정 1-1. 설치해야할프로그램및파일 2. 문서읽는법 Cygwin 설치법 GNUARM 설치법 AT91SAM7S256 드라이버설치및컴퓨터연결 2-1. 개요 2-2. 회로도읽기 2-3. 데이터시트읽기 2-4. 타이밍차트읽"

Transcription

1 ARM (AT91SAM7S256) 보고서 2013 년 6 월 7 일 스마트컨트롤러 2013 조유진

2 목차 1. 기본설정 1-1. 설치해야할프로그램및파일 2. 문서읽는법 Cygwin 설치법 GNUARM 설치법 AT91SAM7S256 드라이버설치및컴퓨터연결 2-1. 개요 2-2. 회로도읽기 2-3. 데이터시트읽기 2-4. 타이밍차트읽기 3. 캐릭터 LCD(PIO) 3-1. 개요 3-2. 사용하는레지스터 3-3. Source Code 3-4. 실습사진 4. 온도센서및조도센서 (ADC) 4-1. 개요 4-2. 사용하는레지스터 4-3. Source Code 4-4. 실습사진 5. LCD 및초음파센서활용 (PIO, AIC) 5-1. 개요 5-2. 사용하는레지스터 5-3. Source Code 5-4. 실습사진 6. DBGU 활용 (LED, 릴레이 On/Off) 6-1. 개요 6-2. 사용하는레지스터 6-3. Source Code 6-4. 실습사진

3 1. 기본설정 환경 준비물 Windows 컴퓨터, AT91SAM7S256, 케이블, 개념 1-1. 설치해야하는프로그램및파일 이름 Cygwin 설명윈도우에서리눅스환경을제공해주는에뮬레이터프로그램. GCC를사용가능하게해주며, make명령어로컴파일할수있다. GNUARM GNU 재단에서제작한 ARM 용 GCC 확장컴파일러. SAM-BA Atmel 에서제공하는 ISP(In-System Programmer) 프로그램. 컴파 일하고나온 bin 파일을 AT91SAM7S256 에올리기위해사용된다 프로젝트파일 컴파일을하기위한 makefile과, 사용자가프로그래밍한내용을 실행시킬수있는 Cstartup.s 등이제공된다. 칩을제작한 Atmel 사에서제공하는데이터시트이다. 레지스터들 데이터시트 회로도 의정보와, 스펙등이기술되어있어프로그래밍을하기전무조건확인해야할문서이다. 보드를제작한우리로봇기술 ( 제작사에는차이가있을수있음 ) 에서제공하는회로도이다. PIN번호등을확인할때쓰인다. 이름을클릭하여 URL 이동 Cygwin 설치법

4 적당하게다음버튼을눌려준다. 1. 전체 Uninstall 2. Devel Install 3. Editor : gvim, vim, nano 4. Shell : zsh

5 이후에러가뜨면확인버튼만누르며기다리다보면설치가된다. 설치이후리눅스명령어를윈도우에서쓸수있게된다 GNUARM 설치법 Cygwin DLL 함께설치체크를해제한다.( 이미깔려있으므로 ) AT91SAM7S256 드라이버설치및컴퓨터연결 1 TST ON 2 POWER ON 3 약 10초대기 4 POWER OFF 5 TST OFF 6 POWER ON 첫시도에자동으로드라이버설치화면이나오는데, 이때 Windows 7에서는자동으로 GPS 드라이버를 잡으므로 취소하여 수동으로 설치해야 한다. 드라이버가 존재하는 경로는 SAM-BA를설치한디렉터리의 drv 폴더에있다. 이를선택하고설치하면 SAM-BA에서보드 를잡을수있고, 컴파일등의이용이가능해진다.

6 2. 문서읽는법 3-1. 개요 기기마다회로구성이나 PIN들이동작하는내용이다를수있으므로회로도와데이터시트는보드를받았을때제일우선적으로체크해야할것들이다. 또한모듈을이용할때타이밍차트없이는동작시킬수가없다 회로도읽기 이미지 VCC n V GND 설명 저항콘덴서전해콘덴서무엇과연결된핀연결되지않은핀 LED 3-1. 데이터시트읽기 레지스터나칩에대한정보를알기위해서는데이터시트가필요하다. 보통일반적으로 Register Summary같은항목을제공하여레지스터리스트를볼수있고, 원하는레지스터를찾아어떤역할을하는지를자세히알수있다. 또한기기의스펙이나적정전압을찾을수있어큰도움이된다. 레지스터주소계산법 : 기준주소 + Offset ( 예시 : 기준주소 :0xFFFFF400, Offset:0x100 인레지스터의주소는 0xFFFFF500 이다.) 3-1. 타이밍차트읽기 모듈이작동하는순서를알려주는도표이다. Lowers와 High로상태를구분한다. 도표에맞게신호를넣어주어야작동하며, 어떤상태를가지던무관한부분이있고무조건 L/H부터시작해야하는것도있다. 이에유의하여프로그래밍해야한다. 일직선으로뻗어있는부분들은부가적으로 txxx라고기술되어있는데, 이는최소 ( 혹은최대 ) 몇시간단위만큼대기해야제대로작동한다는의미이다. 이부분은적당한딜레이를주어처리한다.

7 3. 캐릭터 LCD(PIO) 사용기능 조작물품 PIOA, 모듈활용 캐릭터 LCD 3-1. 개요 캐릭터 LCD는글자만을출력하기위한 LCD 장치이다. 우리는모듈을이용해조작한다. 모듈을조작하려면우선어떻게신호를주면어떤동작을하는지확인해야하므로타이밍차트를분석해코드를구상해야한다. 캐릭터 LCD 타이밍차트 Write(LCD_CmdWrite, 커맨드명령에쓰임 ) Read(LCD_DataWrite, 데이터입력시쓰임 ) Read 시에는 Write 때와다름없지만 R/W 핀이특정시점에서무조건 H 가되어야한다. 기본적으로작동하는방법

8 PIO에서조작할핀네개를미리선언해둔다.(LCD_RS, LCD_RW, LCD_EN, LCD_BS) 그리고 Write 타이밍도를참고하여 LCD_CmdWrite함수를제작하고, 이를이용해 LCD를어떤방식으로쓸지세팅해주기위해 LCD_Init함수를만들어초기화함수도만들어준다. 초기화는모듈에서명령을제공해주며이는데이터시트를참조하도록한다. 명령어및설명 이름 Clear display Return home Entry mode set Display on/off control Cursor or display shift Function set Set CG RAM address Set DD RAM address Write data to CG or DD RAM Read data from CG of DD RAM 설명화면상의모든글자를없앰원시작점으로커서를되돌림커서가움직이는방향과쉬프트되는방법을정함디스플레이 / 커서 / 깜빡이를설정커서와디스플레이를옮김데이터길이 / 표시할줄수 / 캐릭터폰트를설정 CG RAM 주소를정해줌 DD RAM주소를정해줌데이터를 DD RAM이나 CG RAM에씀데이터를 DD RAM이나 CG RAM으로부터읽음 여기서우리는 Function set, Entry mode set, Cursor or display shift, Display, Clear display, Return Home 순으로명령을넣어주는것으로초기화를끝낼수있다. 이후 LCD_DataWrite 함수를이용하여글자를출력시켜확인할수있다. ASCII 코드 ( a' 등 ) 로입력하면, 메모리에서해당하는숫자의번지속폰트를화면에띄워주는방식이다. 사용자제작폰트추가하기 현재우리가사용하는모델은일어 / 영어 / 숫자 / 일부기호만출력이가능하고한글출력이나다른기호의출력이불가능하다. 그래서모듈자체에있는폰트메모리에사용자가추가할수있는영역이존재하는데, 이곳에폰트의모양을숫자로변환하여추가할수있다. 폰트를추가하려면직접메모리에접근해야하는데, 이를제공하는명령어가 Set CG RAM address(0x40) 이다. 명령어를실행한직후 LCD_DataWrite함수를이용해쓸내용을전부입력해준다. 하지만폰트의구조에대해유의해야할점이있는데, 한글자입력할시한줄한줄씩만입력하여 8줄, 즉 8*5크기로쓴다는점이다. 위의그림과같이생긴폰트를추가하고싶다면, 0x04, 0x0E, 0x0E, 0x0E, 0x0E, 0x0E, 0x04, 0x00, 0x04 순으로등록하면그림과같은폰트를화면상에서볼수있다. 그리고이를읽어올때는 LCD_DataWrite( 0x00 ) 식으로사용해폰트메모리속의해당번지글자를띄워준다.

9 3-2. 사용하는레지스터 이름 주소 페이지 설명 PIO_PER FFFFF PIO Enable Register PIO_OER FFFFF Output Enable Register PIO_SODR FFFFF Set Output Data Register PIO_CODR FFFFF Clear Output Data Register 3-3. Source code lcd.h lcd.c

10

11 3-4. 실습사진

12 4. 온도센서및조도센서활용 사용기능 조작물품 ADC, PIN 조작, 캐릭터 LCD 조작 캐릭터 LCD, LED, 조도센서, 온도센서 4-1. 개요 온도센서와조도센서는저항의일종이다. 특정상황이주어지면소자의저항값이높아지는것으로, ADC와연결된 PIN으로들어가 AT91SAM7S256 보드에흘러들어가면아날로그- 디지털컨버터에서축차비교법 ( 전압을전체 5V( 보드에서흐르는전압 ) 에서분해능만큼 (8bit/10bit) 나누어전압을서서히올려비교하는방법 ) 을이용하여아날로그값을디지털로변환한다. 이과정에서어느정도의값이손실되나실제값과거의유사한값을얻을수있게된다. 그리고얻어진값을통해여러응용이가능하다. 작동방법 우선, 저항의값을알아내기위해 ADC컨버터를이용해야한다. ADC컨버터를설정해주기위해먼저 PMC_PCER레지스터를이용해 ADC에전원을공급해주고, 제어레지스터 (ADC_CR) 로소프트웨어리셋을해준다. 그리고센서들이연결된핀의채널을사용가능하게해주고, ADC_MR을이용하여분해능을 10비트, 변환속도를 4Mhz로설정해주는것으로 ADC 설정함수 (ADC_Init) 은끝난다. 설정이끝났으므로, ADC_CR 레지스터를이용해작동을시작한다. 그리고 ADC_SR에있는 DRDY비트 ( 변환이완료되었음을알린다 ) 가 1이될때까지대기한후, ADC_LCDR(Last Converted Data) 혹은해당채널의데이터레지스터에서값을읽어오는것으로이용이가능하다 사용하는레지스터 이름 주소 페이지 설명 ADC_CR 0xFFFD Control Register ADC_MR 0xFFFD Mode Register ADC_CHER 0xFFFD Channel Enable Register ADC_CHDR 0xFFFD Channel Disable Register ADC_CHSR 0xFFFD Channel Status Register ADC_SR 0xFFFD801C 552 Status Register ADC_LCDR 0xFFFD Last Converted Data Register ADC_IER 0xFFFD Interrupt Enable Register ADC_IDR 0xFFFD Interrupt Disable Register ADC_IMR 0xFFFD802C 555 Interrupt Mask Register ADC_CDR0 0xFFFD Channel Data Register 0 ADC_CDR1 0xFFFD Channel Data Register 1 ADC_CDR2 0xFFFD Channel Data Register 2 ADC_CDR3 0xFFFD803C 556 Channel Data Register 3 ADC_CDR4 0xFFFD Channel Data Register 4 ADC_CDR5 0xFFFD Channel Data Register 5 ADC_CDR6 0xFFFD Channel Data Register 6 ADC_CDR7 0xFFFD804C 556 Channel Data Register 7 PMC_PCER 0xFFFFFC Peripheral Clock Enable Register

13 해당레지스터에관한상세정보는표에적힌페이지를데이터시트에서참고하기바람 Source code adc.h

14 adc.c 4-4. 실습사진

15 5. 초음파센서활용 사용기능 조작물품 AIC, 타이머카운터, 캐릭터 LCD 조작 캐릭터 LCD, 초음파센서 (SRF05) 5-1. 개요 초음파는인간의가청영역을벗어난고음파인데, 이를여러방향으로쏘아되돌아오는시간을측정하여거리를재는것이초음파센서이다. 초음파센서는액체에서높은효율을발생하여의료기기나돌고래등이사용한다. 일반적으로는기기의한계로인해 2~3m정도만측정이가능하다. 같은센서종류임에도불구하고조도센서나온도센서와는동작하는원리에차이가있다. 조도센서 / 온도센서는축차비교를하여센서값을읽어오는반면에초음파센서는메아리핀의상승에지가얼마나오래 HIGH상태로있는지를체크하고, 이를계산하여거리를측정한다. 음파는 1초당 340m씩갈수있으므로이를나누어보면약 초당 1cm 나아감을알수있다. 그리고초음파는왕복해야하므로, 이를이용해타이머카운터로약 초마다카운터를올려거리를잴수있다. 이원리는어떤모듈이든똑같이적용된다. SRF05 초음파센서타이밍도 작동하는방법 초음파센서는사용자가트리거펄스를주는부분과, 에코펄스가들어오기전설정해야할것, 인터럽트, 타이머카운터등설정해주어야할것들이다소많이있다. 우선, 타이머카운트설정부터보겠다. 타이머카운트를사용하려면해당번호의장치를 PMC로부터전원공급을해주어야하며, Clock의분주기를고쳐야하므로 TC_CCR레지스터를이용해타이머 Clock을우선중지시킨다. 그리고 TC_IDR를이용해모든인터럽트를우선중지시킨다. TC_SR는읽혀지면초기화되는성질이있는데, 이를이용하여초기화한다. 그리고 TC_CMR를이용해분주비를오차가제일적은 8로, 비교방식을축차비교로설정해준다. 분주비가 8이면 MCK인 를 1sec에서나누면 Clock 하나에소모되는시간이나오는데, 여기서 8을곱하면분주비 8일시 1 Clock 당소모되는시간이되고, 기기가처리할시간단위를정해주기위해 1Clock 당소모되는시간을몇배해야원하는시간 ( 음파가 1cm가는시간 ) 이나오는지계산하여그값을 TC_RC에넣어준다. 그리고 AIC_IDCR에서인터럽트를비활성화하고, AIC_SVR에서타이머핸들러를등록하고, AIC_SMR에서인터럽트의모드를설

16 정해준다.( 상승에지체크, 우선순위최하 ) 혹시다른값이있을수있으므로 AIC_ICCR에서전부 Clear해주고, TC_IER을통해 TC_RC에서설정한값을비교하여그값이되면활성화되는인터럽트를켠다. 이후 AIC_IECR를통해 TC0의인터럽트를켜준다. 그러나클록활성화및타이머실행을하지는않았으므로여기서바로실행되지는않는다. 353번클록이돌면실행되는 Timer_Handler함수는단순히 Tick변수를증가시켜해당변수만큼음파가가고있음을알수있게해주고, TC_SR변수를읽어다시카운트하도록해준다. 그리고이후실행되는 TRG_Init함수는사용자가직접모듈의트리거핀에펄스를생성시켜모듈을동작하는신호를보내기전설정하는것이다. 우선 PIOA를사용하므로 PIO_PER로핀을활성화하고, PIO_OER로출력활성화를한후, 타이밍차트에따라시작은 L상태여야하므로 PIO_CODR을이용해초기화해둔다. 또, 우리가거리를계산하는데에쓰이는 Echo핀을쓰기위해이것도초기화해둔다. 이것도인터럽트가걸리므로 AIC를함께쓴다. 때문에 PMC_PCER을통해전원공급을해준다. 그리고해당핀을입력에만쓸것이므로 PIO_ODR을통해출력비활성화를해준후, PIO_PER을통해핀활성화를한다. 그리고인터럽트를쓰기전우선 PIO_IDR을통해에코핀의인터럽트를비활성화해준다. 또한 AIC_IDCR로 PIOA장치의인터럽트를비활성화한다. 이후우리는하강에지를찾아인터럽트를사용할것이므로, PIO_PPUDR(PIO_PUDR) 을이용해 Pull-up ( 입력전에는무조건 H상태이다가, 입력한순간 L가된다. 반대로는 Pull-Down이있다.) 을에코핀에서비활성화해준다. 이후 AIC_SVR에 ULT_Handler함수를등록하면인터럽트가걸릴때해당함수의주소가자동으로 pc에들어가불러와진다. 그리고 AIC_SMR를통해설정하는데, 여기서유의해야할점은앞에서 Pull-Up을비활성화해주었으므로하강에지를찾아야끝난다는것이다. 그러면하강에지가찾아올때 ULT_Handler함수가불러와질것이다. 이후잡내용을날리기위해 AIC_ICCR로 Clear해주고, 오차발생을방지하기위해 PIO_IFER 로 Glitch Filter를에코핀에걸어준다. 그리고 AIC_ISCR을이용해 PIOA전체에인터럽트를걸어주고, PIO_IER을통해에코핀에인터럽트를, AIC_IECR로 AIC장치가인터럽트를처리하게활성화해준다. 여기서 AIC_SVR에등록해준 ULT_Handler함수는불러와지는시점에서는이미음파가되돌아온상태이므로 ( 거리계산이끝난상태 ) 현재까지받아온거리를전역변수에게넘겨주고, AIC_EOICR에 0을대입해거리를다계산하였으므로인터럽트를끝냄을알리는함수이다. 사용자가초음파센서전체를동작시키기위해만들어진 ULT_Run함수는, 우선트리거펄스를주어모듈에게시작을알린다 (TRG_Pulse). 이함수는 PIO_SODR로트리거핀을출력하고 48Cycle이상대기해 10uS이상지연시킨다. 그리고 PIO_CODR을통해다시출력을없앤다. 이후트리거신호가끝났으면 while 문과 PIO_PDSR로에코핀이상승에지를만날때까지지연시켜준다. while문을벗어나면상승에지를만났다는것이므로 TC_CCR로타이머 Clock을활성화시키고타이머를시작시켜계속거리를잰다. 에코신호가하강에지를띄면거리재는것을멈추어야하므로 PIO_IER로에코핀의인터럽트를활성화시켜준다. 그리고 AIC_IECR로 PIOA의인터럽트를활성화한다. 이후끝날때까지계속시간을재게하며, ULT_Handler를만나재는상태가끝나면에코핀과타이머카운터를다시초기화하여다시쓸수있게하며동작을중단시킨다. 이후전역변수로선언된거리를문자열로변환시켜해당문자열을반환하는것으로초음파센서작동함수가끝이난다. 반환된문자열은캐릭터 LCD에띄워확인할수있다.

17 5-2. 사용하는레지스터 이름 주소 페이지 설명 AIC_SMR FFFFF Source Mode Register AIC_SVR FFFFF Source Vector Register AIC_IECR FFFFF Interrupt Enable Command Register AIC_ICCR FFFFF Interrupt Clear Command Register AIC_IDCR FFFFF Interrupt Disable Command Register AIC_EOICR FFFFF End of Interrupt Command Register AIC_ISCR FFFFF12C 182 Interrupt Set Command Register PMC_PCER FFFFFC PMC Peripheral Clock Enable Register PIO_PER FFFFFC PIO Enable Register PIO_OER FFFFFC Output Enable Register PIO_IFER FFFFFC Glitch Input Filter Enable Register PIO_SODR FFFFFC Set Output Data Register PIO_CODR FFFFFC Clear Output Data Register PIO_ODR FFFFFC Output Disable Register PIO_IER FFFFFC Interrupt Enable Register PIO_IDR FFFFFC Interrupt Disable Register PIO_ISR FFFFFC4C 258 Interrupt Status Register PIO_PPUDR FFFFFC Pull-up Disable Register TC_CCR FFFA Channel Control Register TC_CMR FFFA Channel Mode Register TC_RC FFFA001C 475 Register C TC_SR FFFA Status Register TC_IER FFFA Interrupt Enable Register TC_IDR FFFA Interrupt Disable Register 해당레지스터에관한상세정보는표에적힌페이지를데이터시트에서참고하기바람 Source code ultra.h

18 ultra.c

19

20 5-4. 실습사진

21 6. DBGU 활용 (LED, 릴레이 On/Off) 사용기능 조작물품 AIC, 타이머카운터, PIOA 조작, DBGU LED, 릴레이, 초음파센서, 하이퍼터미널 6-1. 개요 ARM에서처리할수있는통신에는크게비동기방식 (UART) 과동기방식 (USART) 이있는데, 여기서디버깅목적으로만들어진비동기방식통신장치가있고, 이가 DBGU이다. 이를이용하면직렬 ( 시리얼 ) 로컴퓨터의하이퍼터미널프로그램을이용하여데이터를주고받을수있다. 이를응용하면공장기기제어프로그램, 도서대여시스템등을제작할수있다. 작동하는방법 우선 DBGU제어레지스터 (DBGU_CR) 로송신기와수신기를 Clear한다. 이후 Baud Rate공식에따라 DBGU_BRGR에 Baud Rate를입력해준다. 그리고 DBGU_MR로채널모드를 Normal로, Parity Code를짝수로설정해준다. 이후 PIO_PDR로송신으로사용할핀과수신으로사용할핀을비활성화하고, 이들의장치모드를 PIO_ASR을통해 A로변경해준다.( 원래는 PIOA이다 ) DBGU_CR로송수신을활성화하면 DBGU초기화가완료된다. 그리고 DBGU 인터럽트초기화함수는 DBGU_Init과유사한부분은미리생략하여설명한다. 이함수의특징은인자로함수포인터를받아이인자를 SVR에넣는것이다. 우선 DBGU의모든인터럽트를비활성화한다. 이후 DBGU_SR를읽어상태정보를전부날려준다. 그리고 AIC_IDCR로 SYSC를비활성화하여 Global Interrupt를꺼준다. 이후 AIC_SVR에인자인함수를넣어준다. 그리고 AIC_SMR를통해모드를설정해준다 ( 내외부 Sensitive, H상태를감지한다 ). AIC_ICCR로 SYSC에있는인터럽트를 Clear하여오류를방지하고, AIC_IECR로활성화를, DBGU_IER로수신인터럽트를켜준다. 그리고 DBGU_CR로송수신을활성화한다. 송수신함수에는인터럽트 Handler로쓰인함수와, DBGU_SendChar, DBGU_SendString, DBGU_RecvChar가있다. 우선 DBGU_SendChar는하이퍼터미널에단한글자만전송해주는함수로, 단순히상태레지스터 (DBGU_SR) 에서송신준비가되어있을때까지대기한후, DBGU_THR에해당값을대입하는식으로글자를보낸다. 그리고 DBGU_SendString에서는이를단순히문자열을받아여러번 DBGU_SendChar를호출하게해주는것이다. DBGU_RecvChar는하이퍼터미널로부터수신받을때쓰이는데, 상태레지스터 (DBGU_SR) 에서수신준비가될때까지대기한후, DBGU_RHR에있는값을반환하여이를처리한다. 대신이함수는자동으로불러와지지않으므로, 무언가실시간으로입력받아야할때처리가곤란하다는단점이있다. 이것을확장하여인터럽트와함께쓸수도있다. DBGU_InterruptHandler는무언가수신된상태면자동으로인터럽트가걸리며해당함수가호출되는데, 그때자동으로수신처리함수인 DBGU_RecvChar를불러와반환된값을통해입력을처리한다.

22 6-2. 사용하는레지스터 이름 주소 페이지 설명 DBGU_CR 0xFFFFF Control Register DBGU_MR 0xFFFFF Mode Register DBGU_IER 0xFFFFF Interrupt Enable Register DBGU_IDR 0xFFFFF20C 228 Interrupt Disable Register DBGU_IMR 0xFFFFF Interrupt Mask Register DBGU_SR 0xFFFFF Status Register DBGU_RHR 0xFFFFF Receive Holding Register DBGU_THR 0xFFFFF21C 233 Transmit Holding Register DBGU_BRGR 0xFFFFF Baud Rate Generator Register DBGU_CIDR 0xFFFFF Chip ID Register DBGU_EXID 0xFFFFF Chip ID Extension Register DBGU_FNR 0xFFFFF Force NTRST Register PIO_PDR 0xFFFFF PIO Disable Register PIO_ASR 0xFFFFF Peripheral A Select Register AIC_SMR 0xFFFFF Source Mode Register AIC_SVR 0xFFFFF Source Vector Register AIC_IVR 0xFFFFF Interrupt Vector Register AIC_FVR 0xFFFFF FIQ Interrupt Vector Register AIC_ISR 0xFFFFF Interrupt Status Register AIC_IPR 0xFFFFF Interrupt Pending Register AIC_IMR 0xFFFFF11C 179 Interrupt Mask Register AIC_CISR 0xFFFFF Core Interrupt Status Register AIC_IECR 0xFFFFF Interrupt Enable Command Register AIC_IDCR 0xFFFFF Interrupt Disable Command Register AIC_ICCR 0xFFFFF Interrupt Clear Command Register AIC_ISCR 0xFFFFF12C 182 Interrupt Set Command Register AIC_EOICR 0xFFFFF End of Interrupt Command Register AIC_SPU 0xFFFFF Spurious Interrupt Vector Register AIC_DCR 0xFFFFF Debug Control Register 해당레지스터에관한상세정보는표에적힌페이지를데이터시트에서참고하기바람 Source code dbgu.h

23 dbgu.c

24

25 6-4. 실습사진

INDEX 1. DataSheet 및 Circuit 1-1 DataSheet 1-2 Circuit 2. ADC 를통한조도센서, 온도센서활용. 2-1 조도센서, 온도센서활용 (ADC) 3. DBGU 를통한 LED, Relay, LCD, 초음파센서활용. 3-1 LED 토글

INDEX 1. DataSheet 및 Circuit 1-1 DataSheet 1-2 Circuit 2. ADC 를통한조도센서, 온도센서활용. 2-1 조도센서, 온도센서활용 (ADC) 3. DBGU 를통한 LED, Relay, LCD, 초음파센서활용. 3-1 LED 토글 ARM 보고서 (AT91SAM7S256) 스마트컨트롤과정 2013 김성엽 INDEX 1. DataSheet 및 Circuit 1-1 DataSheet 1-2 Circuit 2. ADC 를통한조도센서, 온도센서활용. 2-1 조도센서, 온도센서활용 (ADC) 3. DBGU 를통한 LED, Relay, LCD, 초음파센서활용. 3-1 LED 토글 ( PIO 활용

More information

Microsoft Word ARM_ver2_0a.docx

Microsoft Word ARM_ver2_0a.docx [Smart]0703-ARM 프로그램설치 _ver1_0a 목차 1 윈도우기반으로리눅스컴파일하기 (Cygwin, GNU ARM 설치 )... 2 1.1 ARM datasheet 받기... 2 1.2 Cygwin GCC-4.0 4.1 4.2 toolchain 파일받기... 2 1.3 Cygwin 다운로드... 3 1.4 Cygwin Setup... 5 2 Cygwin

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Text-LCD Device Control - Device driver Jo, Heeseung M3 모듈에장착되어있는 Tedxt LCD 장치를제어하는 App 을개발 TextLCD 는영문자와숫자일본어, 특수문자를표현하는데사용되는디바이스 HBE-SM5-S4210 의 TextLCD 는 16 문자 *2 라인을 Display 할수있으며, 이 TextLCD 를제어하기위하여

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 7주차 AVR의 A/D 변환기제어레지스터및관련실습 Next-Generation Networks Lab. 3. 관련레지스터 표 9-4 레지스터 ADMUX ADCSRA ADCH ADCL 설명 ADC Multiplexer Selection Register ADC 의입력채널선택및기준전압선택외 ADC Control and Status Register A ADC 의동작을설정하거나동작상태를표시함

More information

2주차: 입출력 제어 복습

2주차: 입출력 제어 복습 마이크로프로세서 응용및실습 ` 13-14 주차 : 직렬통신 (2) 한철수 전자공학과 2/35 직렬통신과병렬통신 직렬통신 한가닥의선으로송수신할데이터를차례대로전송하는방식 장점 : 통신선로가적기때문에경제적임 단점 : 전송속도가느림. 송수신약속이복잡해짐 병렬통신 여러가닥의선으로동시에여러개의데이터를전송하는방식 장점 : 전송속도가빠름 단점 : 직렬통신보다비쌈 3/35

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

KEY 디바이스 드라이버

KEY 디바이스 드라이버 KEY 디바이스드라이버 임베디드시스템소프트웨어 I (http://et.smu.ac.kr et.smu.ac.kr) 차례 GPIO 및 Control Registers KEY 하드웨어구성 KEY Driver 프로그램 key-driver.c 시험응용프로그램 key-app.c KEY 디바이스드라이버 11-2 GPIO(General-Purpose Purpose I/O)

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

슬라이드 1

슬라이드 1 첨부 4 리모콘별 TV 셋팅방법 2011. 1 전략서비스본부서비스지원팀 1 경제형 2002년개발쌍방향단순-2007년개발경제형 /PVR-2007년개발 2002 년 02 월 ~ 2003 년 08 월 85 만 (40 만은 TV 설정불가 ) 선호채널 도움말 만가능 1) TV 전원을키고 2) 0( 숫자 )+ 음소거동시에누름 -> LED ON 3) 리모콘 LED 주황색불확인후제조사코드입력

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

Microsoft Word - AM-SLCD_시리얼 LCD_ 메뉴얼.doc

Microsoft Word - AM-SLCD_시리얼 LCD_ 메뉴얼.doc 영문시리얼 LCD 모듈 ( Model : AM-SLCD) 메뉴얼 뉴테크놀로지컴패니 (N.T.C) 1 AM-SLCD ( 영문시리얼 LCD 모듈 ) 소개 영문 Character LCD 를 Serial 을이용하여터미널모드와커맨드모드로제어할수있다. 터미널모드는시리얼로출력되는 ASCII Code 데이터를 LCD 화면에보여주는기능이다. 커맨드모드는통신커맨드에해당하는데이터를수신하여

More information

Microsoft PowerPoint - T1 ERS (Elevator Reservation System)SASD2.pptx

Microsoft PowerPoint - T1 ERS (Elevator Reservation System)SASD2.pptx Team : T1 Member : 김영훈, 남장우, 황규원 Presenter : 김영훈 Statement of Purpose System Context Diagram Event List Data Flow Diagram Process Specification i Structured Charts Elevator Reservation System(ERS) -ERS는입력이들어오면입력을스케줄에저장한다.

More information

정보보안 개론과 실습:네트워크

정보보안 개론과 실습:네트워크 ` 마이크로프로세서설계및실습 12-13 주차강의자료 학습목표 A/D 변환기의제어방법을이해한다 능숙하게 A/D 변환기를제어할수있도록반복실습한다 2/28 아날로그 - 디지털변환회로 아날로그 - 디지털변환회로 (A/D 변환회로 ) 는, 아날로그전기신호를디지털전기신호로변환하는전자회로이다 A/D 컨버터 (ADC: Analog-to-digital converter) 라고도불린다

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED Controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-SEGMENT DEVICE CONTROL - DEVICE DRIVER Jo, Heeseung 디바이스드라이버구현 : 7-SEGMENT HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 디바이스드라이버구현 : 7-SEGMENT 6-Digit 7-Segment LED

More information

ATmega128

ATmega128 ATmega128 외부인터럽트실습 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi 외부인터럽트실험 외부인터럽트를사용하기위해관렦레지스터를설정 일반적으로 I/O 포트에대한설정이끝난후에외부인터럽트나타이머 / 카운터설정 PE4~7 번까지 4 개의외부인터럽트 INT4~INT7 까지사용 외부인터럽트사용법요약

More information

H3250_Wi-Fi_E.book

H3250_Wi-Fi_E.book 무선 LAN 기능으로 할 수 있는 것 2 무선 LAN 기능으로 할 수 있는 것 z q l D w 3 Wi-Fi 기능 플로우차트 z q l D 4 Wi-Fi 기능 플로우차트 w 5 본 사용 설명서의 기호 설명 6 각 장별 목차 1 2 3 4 5 6 7 8 9 10 11 12 13 14 7 목차 1 2 3 4 8 목차 5 6 7 8 9 9 목차 10 11 12

More information

Microsoft Word - AM-GYRO-P V02 메뉴얼.doc

Microsoft Word - AM-GYRO-P V02 메뉴얼.doc 2 축자이로센서플러스모듈 ( Model : AM-GYRO-P ) 메뉴얼 뉴티씨 (NEWTC) 1 AM-GYRO-P 소개 2축자이로 ( 각속도 ) 센서 (InvenSense사의 IDG-650/IXZ-650) 를이용한 Evaluation 보드 출력신호에 Low Pass Filter ( 약 2kHz) 구현 AM-GYRO 모듈과 AVR 보드와연결하여테스트할수있는보드입니다.

More information

(MHT-SB112\273\347\276\347\274\255.hwp)

(MHT-SB112\273\347\276\347\274\255.hwp) 무한테크 Digital I/O Board MHT-SB112 경기도의왕시고천동 290-2 대영골든밸리 902 호 http:// Tel : 031-450 - 6737 Fax : 031-450 - 6738 Email : info@moohantechbiz 차례 1 사용되는용도및특징 2 구성요소 3 인터페이스구성 4 아날로그입력 5 통신프로토콜 6 딥스위치설정 7 PCB

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

커알못의 커널 탐방기 이 세상의 모든 커알못을 위해서

커알못의 커널 탐방기 이 세상의 모든 커알못을 위해서 커알못의 커널 탐방기 2015.12 이 세상의 모든 커알못을 위해서 개정 이력 버전/릴리스 0.1 작성일자 2015년 11월 30일 개요 최초 작성 0.2 2015년 12월 1일 보고서 구성 순서 변경 0.3 2015년 12월 3일 오탈자 수정 및 글자 교정 1.0 2015년 12월 7일 내용 추가 1.1 2015년 12월 10일 POC 코드 삽입 및 코드

More information

UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ UL UART PORT1 void UAR

UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ UL UART PORT1 void UAR IMC-V0.1 예제소스파일 1. UART 소스코드 (page 1-3) 2. Encoder 소스코드 (page 4-7) 3. ADC 소스코드 (page 8-10) UART.h #ifndef _UART_H_ #define _UART_H_ #define DIR_TXD #define DIR_RXD sbi(portd,4) cbi(portd,4) #define CPU_CLOCK_HZ

More information

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-THL100은 UART 인터페이스를통하여온도, 습도, 조도데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에쉽게적용할수있도록소형으로제작되었습니다. PC에서 OSTSen-THL100의온도,

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Function) 1. 함수의개념 입력에대해적절한출력을발생시켜주는것 내가 ( 프로그래머 ) 작성한명령문을연산, 처리, 실행해주는부분 ( 모듈 ) 자체적으로실행되지않으며,

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

Microsoft Word - LKP-RTD 사용자 설명서

Microsoft Word - LKP-RTD 사용자 설명서 LKP-RTD 보드 사용자설명서 Version Information H/W Version : Version 1.0 소속 : ( 주 ) 엘케이일레븐연구소주소 : 성남시중원구상대원동 190-1 SKn테크노파크메가센터 1306호전화 : 031-776-4120 / FAX : 031-766-4119 목차 1. 개요... 5 2. 사양... 6 3. 블록도... 7 4.

More information

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 (   ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각 JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( http://java.sun.com/javase/6/docs/api ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각선의길이를계산하는메소드들을작성하라. 직사각형의가로와세로의길이는주어진다. 대각선의길이는 Math클래스의적절한메소드를이용하여구하라.

More information

Microsoft PowerPoint UNIX Shell.ppt

Microsoft PowerPoint UNIX Shell.ppt 컴퓨터특강 () 2006 년봄학기 문양세강원대학교컴퓨터과학과 Shell? Shell이란명령어해석기 (Command Processor or Command Interpreter): 사용자가입력하는명령을읽고해석하는프로그램프로그래밍언어 : Shell이해석할수있는스크립트 (shell script) 라는프로그램을작성유닉스를사용하는데있어주요한인터페이스 Page 2 1 Shell

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

고급 프로그래밍 설계

고급 프로그래밍 설계 UNIT 13 라즈베리파이블루투스 광운대학교로봇 SW 교육원 최상훈 Bluetooth Module 2 Bluetooth Slave UART Board UART 인터페이스용블루투스모듈 slave/device mode 라즈베리파이 GPIO 3 < 라즈베리파이 B+ 의 P1 헤더핀 GPIO 배치도 > wiringpi 라이브러리 4 라즈베리파이 GPIO 라이브러리

More information

시프트 레지스터 Shift Resistor 자, 이제 LED MATRIX 8x8 Board를 마이크로컨트롤러에 연결된 3개의 선으 로 제어해 보자. 이는 마이크로컨트롤러의 포트를 확장함과 동시에 프로그램 으로 제어를 더 쉽게 한다는 장점이 있다. 물론 포트를 절약하게

시프트 레지스터 Shift Resistor 자, 이제 LED MATRIX 8x8 Board를 마이크로컨트롤러에 연결된 3개의 선으 로 제어해 보자. 이는 마이크로컨트롤러의 포트를 확장함과 동시에 프로그램 으로 제어를 더 쉽게 한다는 장점이 있다. 물론 포트를 절약하게 Physical Computing for Artists & Designers 연세대학교디지털아트학과 Earl Park 시프트 레지스터 Shift Resistor 자, 이제 LED MATRIX 8x8 Board를 마이크로컨트롤러에 연결된 3개의 선으 로 제어해 보자. 이는 마이크로컨트롤러의 포트를 확장함과 동시에 프로그램 으로 제어를 더 쉽게 한다는 장점이 있다.

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Visual Basic 반복문

Visual Basic 반복문 학습목표 반복문 For Next문, For Each Next문 Do Loop문, While End While문 구구단작성기로익히는반복문 2 5.1 반복문 5.2 구구단작성기로익히는반복문 3 반복문 주어진조건이만족하는동안또는주어진조건이만족할때까지일정구간의실행문을반복하기위해사용 For Next For Each Next Do Loop While Wend 4 For

More information

UI TASK & KEY EVENT

UI TASK & KEY EVENT T9 & AUTOMATA 2007. 3. 23 PLATFORM TEAM 정용학 차례 T9 개요 새로운언어 (LDB) 추가 T9 주요구조체 / 주요함수 Automata 개요 Automata 주요함수 추후세미나계획 질의응답및토의 T9 ( 2 / 30 ) T9 개요 일반적으로 cat 이라는단어를쓸려면... 기존모드 (multitap) 2,2,2, 2,8 ( 총 6번의입력

More information

Microsoft PowerPoint - Chapter 8_USART Serial Communication

Microsoft PowerPoint - Chapter 8_USART Serial Communication MEC382 마이크로프로세서응용및실습 USART Serial Communication Jee-Hwan Ryu School of Mechanical Engineering 통신방법 병렬통신 고속데이터전송이필요한곳에서이루어짐 여러개의라인에서동시에이루어짐 직렬통신 한라인에서이루어짐 데이터의송수신속도가느리다 라인수적고멀리까지통신 동기식, 비동기식있음 동기식 : 기준클럭인동기클럭라인과데이터송

More information

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-PIR100은 UART 인터페이스를통하여인체모션감지 (PIR) 데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. ( PIR: Pyroelectric

More information

슬라이드 1

슬라이드 1 Chap7. LED, LCD 와 7- 세그먼트 켜기및 IIC 통신 LED 를켜기위한순서 1. 프로그램코딩및빌드 ( 헥사파일만들기 ) 2. 프로그램메모리라이팅 M-IDE 빌드해서핵사파일만들기 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5.

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

IoT FND8 7-SEGMENT api

IoT FND8 7-SEGMENT api IoT FND8 7-SEGMENT api http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

슬라이드 1

슬라이드 1 전자정부개발프레임워크 1 일차실습 LAB 개발환경 - 1 - 실습목차 LAB 1-1 프로젝트생성실습 LAB 1-2 Code Generation 실습 LAB 1-3 DBIO 실습 ( 별첨 ) LAB 1-4 공통컴포넌트생성및조립도구실습 LAB 1-5 템플릿프로젝트생성실습 - 2 - LAB 1-1 프로젝트생성실습 (1/2) Step 1-1-01. 구현도구에서 egovframe>start>new

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver 1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-MOS100은 UART 인터페이스를통하여토양수분데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. PC에서는 OSTSen-MOS100에서제공하는토양수분데이터를

More information

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 System call table and linkage v Ref. http://www.ibm.com/developerworks/linux/library/l-system-calls/ - 2 - Young-Jin Kim SYSCALL_DEFINE 함수

More information

Microsoft Word - CooCox

Microsoft Word - CooCox 1.3 CooCox CoIDE 프로그램설치 오픈소스 GCC 환경을제공하는사이트에알아보면 1) Yagarto ; http: //www.yagarto.de/ 2) WinARM ; http: ://gandalf.arubi.uni-kl.de/avr_projects/arm_projects/index_cortex.html 3) GNUARM ; http://www.gnuarm.com/

More information

<4D F736F F D20B1E2BCFAC0DAB7E1202D20454F435220B8F0B5E5B9F6BDBA20C5EBBDC5C1A6C7B020BBE7BFEBB9FD202D F302E646F63>

<4D F736F F D20B1E2BCFAC0DAB7E1202D20454F435220B8F0B5E5B9F6BDBA20C5EBBDC5C1A6C7B020BBE7BFEBB9FD202D F302E646F63> 통신설정 1. Parity Bit 가무엇인가요? 어떻게설정해야합니까? 시설치단계에서통신케이블을연결하고, PCON 또는 PDM 등을통해설정을변경하여시스템과연결하고자할때 EOCR 통신제품에서지원하는프로토콜은 Modbus-RTU 로서, 데이터는 8 비트로구성되며, 데이터의무결성을검증하기위하여데이터비트에 parity bit 1 비트를더해서함께보냅니다. Even Parity

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - codevision사용법_pdf버전.docx

Microsoft Word - codevision사용법_pdf버전.docx CodevisionAVR 설치와 기본사용법 민경학 ( hak@anycalluser.net) 인하대학교 IT 공과대학전자공학과멀티미디어연구실 ( 하이테크센터 916 호 ) 2008.9.7.001 들어가며 AVR 에서쓸수있는컴파일러종류에는 CodeVisionAVR, AVR Edit, IAR, AVRStudio 등이있습니다. CodeVisionAVR 과 IAR 은상용이고

More information

PowerPoint Template

PowerPoint Template SOFTWARE ENGINEERING Team Practice #3 (UTP) 201114188 김종연 201114191 정재욱 201114192 정재철 201114195 홍호탁 www.themegallery.com 1 / 19 Contents - Test items - Features to be tested - Features not to be tested

More information

슬라이드 1

슬라이드 1 - 1 - 전자정부모바일표준프레임워크실습 LAB 개발환경 실습목차 LAB 1-1 모바일프로젝트생성실습 LAB 1-2 모바일사이트템플릿프로젝트생성실습 LAB 1-3 모바일공통컴포넌트생성및조립도구실습 - 2 - LAB 1-1 모바일프로젝트생성실습 (1/2) Step 1-1-01. 구현도구에서 egovframe>start>new Mobile Project 메뉴를선택한다.

More information

Lab 3. 실습문제 (Single linked list)_해답.hwp

Lab 3. 실습문제 (Single linked list)_해답.hwp Lab 3. Singly-linked list 의구현 실험실습일시 : 2009. 3. 30. 담당교수 : 정진우 담당조교 : 곽문상 보고서제출기한 : 2009. 4. 5. 학과 : 학번 : 성명 : 실습과제목적 : 이론시간에배운 Singly-linked list를실제로구현할수있다. 실습과제내용 : 주어진소스를이용해 Singly-linked list의각함수를구현한다.

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

Microsoft Word - ASG AT90CAN128 모듈.doc

Microsoft Word - ASG AT90CAN128 모듈.doc ASG AT90128 Project 3 rd Team Author Cho Chang yeon Date 2006-07-31 Contents 1 Introduction... 3 2 Schematic Revision... 4 3 Library... 5 3.1 1: 1 Communication... 5 iprinceps - 2-2006/07/31

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

슬라이드 1

슬라이드 1 -Part3- 제 4 장동적메모리할당과가변인 자 학습목차 4.1 동적메모리할당 4.1 동적메모리할당 4.1 동적메모리할당 배울내용 1 프로세스의메모리공간 2 동적메모리할당의필요성 4.1 동적메모리할당 (1/6) 프로세스의메모리구조 코드영역 : 프로그램실행코드, 함수들이저장되는영역 스택영역 : 매개변수, 지역변수, 중괄호 ( 블록 ) 내부에정의된변수들이저장되는영역

More information

윈도우즈프로그래밍(1)

윈도우즈프로그래밍(1) 제어문 (2) For~Next 문 윈도우즈프로그래밍 (1) ( 신흥대학교컴퓨터정보계열 ) 2/17 Contents 학습목표 프로그램에서주어진특정문장을부분을일정횟수만큼반복해서실행하는문장으로 For~Next 문등의구조를이해하고활용할수있다. 내용 For~Next 문 다중 For 문 3/17 제어문 - FOR 문 반복문 : 프로그램에서주어진특정문장들을일정한횟수만큼반복해서실행하는문장

More information

<4D F736F F F696E74202D205BBDC7BDC0345DC1B6B5B5BCBEBCADC1A6BEEE2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D205BBDC7BDC0345DC1B6B5B5BCBEBCADC1A6BEEE2E BC8A3C8AF20B8F0B5E55D> 조도센서제어 이번장에서는 ZigbeX 에장치되어있는센서들중에서조도센서에대해공부하고, TinyOS의 Oscilloscope 프로그램을통해측정된조도값을확인하는방법에대해알아보도록하겠다. 한백전자 ZigbeX 의조도센서 2 ZigbeX 의조도센서 조도센서 CDS ZigbeX에장치되어있는조도센서 CDS는 Atmega 128(ZigbeX의 8bit CPU) 의 INT0

More information

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770>

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770> 연습문제해답 5 4 3 2 1 0 함수의반환값 =15 5 4 3 2 1 0 함수의반환값 =95 10 7 4 1-2 함수의반환값 =3 1 2 3 4 5 연습문제해답 1. C 언어에서의배열에대하여다음중맞는것은? (1) 3차원이상의배열은불가능하다. (2) 배열의이름은포인터와같은역할을한다. (3) 배열의인덱스는 1에서부터시작한다. (4) 선언한다음, 실행도중에배열의크기를변경하는것이가능하다.

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

10 강. 쉘스크립트 l 쉘스크립트 Ÿ 쉘은명령어들을연속적으로실행하는인터프리터환경을제공 Ÿ 쉘스크립트는제어문과변수선언등이가능하며프로그래밍언어와유사 Ÿ 프로그래밍언어와스크립트언어 -프로그래밍언어를사용하는경우소스코드를컴파일하여실행가능한파일로만들어야함 -일반적으로실행파일은다

10 강. 쉘스크립트 l 쉘스크립트 Ÿ 쉘은명령어들을연속적으로실행하는인터프리터환경을제공 Ÿ 쉘스크립트는제어문과변수선언등이가능하며프로그래밍언어와유사 Ÿ 프로그래밍언어와스크립트언어 -프로그래밍언어를사용하는경우소스코드를컴파일하여실행가능한파일로만들어야함 -일반적으로실행파일은다 10 강. 쉘스크립트 쉘스크립트 쉘은명령어들을연속적으로실행하는인터프리터환경을제공 쉘스크립트는제어문과변수선언등이가능하며프로그래밍언어와유사 프로그래밍언어와스크립트언어 -프로그래밍언어를사용하는경우소스코드를컴파일하여실행가능한파일로만들어야함 -일반적으로실행파일은다른운영체제로이식되지않음 -스크립트언어를사용하면컴파일과정이없고인터프리터가소스파일에서명령문을판독하여각각의명령을수행

More information

온습도 판넬미터(JTH-05) 사양서V1.0

온습도 판넬미터(JTH-05)  사양서V1.0 온습도 조절기 Model:JTH-05 1. 제품 사양. [제품 구분] JTH-05A(입력 전원 AC), JTH-05D(입력 전원 DC) [전원 사양] JTH-05A 입력 전압 출력 전원 소비 전력 JTH-05D AC 90~240V DC 10~36V 12Vdc / Max.170mA Max.2W [본체 사이즈] ~ 온/습도 범위(본체): 사용 [0 ~ 50, 85%RH

More information

<4D F736F F F696E74202D20B8AEB4AABDBA20BFC0B7F920C3B3B8AEC7CFB1E22E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20B8AEB4AABDBA20BFC0B7F920C3B3B8AEC7CFB1E22E BC8A3C8AF20B8F0B5E55D> 리눅스 오류처리하기 2007. 11. 28 안효창 라이브러리함수의오류번호얻기 errno 변수기능오류번호를저장한다. 기본형 extern int errno; 헤더파일 라이브러리함수호출에실패했을때함수예 정수값을반환하는함수 -1 반환 open 함수 포인터를반환하는함수 NULL 반환 fopen 함수 2 유닉스 / 리눅스 라이브러리함수의오류번호얻기 19-1

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 임베디드시스템기초 (#514115 ) #2. GPIO & Matrix Keypad 한림대학교전자공학과이선우 Short Review #1 General Purpose Input Output (GPIO) Output port Input port Switch 사용방법 2 General Purpose Input Output(GPIO) port 모든 MCU의가장기본적이고중요한주변장치

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

Raspbian 설치 라즈비안 OS (Raspbian OS) 라즈베리파이 3 Model B USB 마우스 USB 키보드 마이크로 SD 카드 마이크로 SD 카드리더기 HDM I 케이블모니터

Raspbian 설치 라즈비안 OS (Raspbian OS) 라즈베리파이 3 Model B USB 마우스 USB 키보드 마이크로 SD 카드 마이크로 SD 카드리더기 HDM I 케이블모니터 운영체제실습 Raspbian 설치 2017. 3 표월성 wspyo74@naver.com cherub.sungkyul.ac.kr 목차 Ⅰ. 설치 1. 라즈비안 (Raspbian 설치 ) 2. 설치후, 설정 설정사항 Raspbian 설치 라즈비안 OS (Raspbian OS) 라즈베리파이 3 Model B USB 마우스 USB 키보드 마이크로 SD 카드 마이크로

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16),

1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64), 3(x32), 4(x16), All In OneSTEP MBCD-13A ( 스텝모터용 1 축컨트롤러 / 드라이버일체형 ) 사용설명서 MotionBank 1. 제품규격및특징 구분 규격및특징 입력전압 DC 12~30V 모터구동방식 Bipolar 방식 최대모터전류 Max 3.0A 초기설정정지전류 :4(0.46A), 구동전류 :18(1.75A) 분주비 0(x256), 1(x128), 2(x64),

More information

게임 기획서 표준양식 연구보고서

게임 기획서 표준양식 연구보고서 ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ

More information

C 프로그래밍 언어 입문 C 프로그래밍 언어 입문 김명호저 숭실대학교 출판국 머리말..... C, C++, Java, Fortran, Python, Ruby,.. C. C 1972. 40 C.. C. 1999 C99. C99. C. C. C., kmh ssu.ac.kr.. ,. 2013 12 Contents 1장 프로그래밍 시작 1.1 C 10 1.2 12

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 A 반 T2 - 김우빈 (201011321) 임국현 (201011358) 박대규 (201011329) Robot Vacuum Cleaner 1 Motor Sensor RVC Control Cleaner Robot Vaccum Cleaner 2 / Event Format/ Type Front Sensor RVC 앞의장애물의유무를감지한다. True / False,

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 C 프로그래밍프로젝트 Chap 14. 포인터와함수에대한이해 2013.10.09. 오병우 컴퓨터공학과 14-1 함수의인자로배열전달 기본적인인자의전달방식 값의복사에의한전달 val 10 a 10 11 Department of Computer Engineering 2 14-1 함수의인자로배열전달 배열의함수인자전달방식 배열이름 ( 배열주소, 포인터 ) 에의한전달 #include

More information

<443A5C4C C4B48555C B3E25C32C7D0B1E25CBCB3B0E8C7C1B7CEC1A7C6AE425CBED0C3E0C7C1B7CEB1D7B7A55C D616E2E637070>

<443A5C4C C4B48555C B3E25C32C7D0B1E25CBCB3B0E8C7C1B7CEC1A7C6AE425CBED0C3E0C7C1B7CEB1D7B7A55C D616E2E637070> #include "stdafx.h" #include "Huffman.h" 1 /* 비트의부분을뽑아내는함수 */ unsigned HF::bits(unsigned x, int k, int j) return (x >> k) & ~(~0

More information