USB2

Size: px
Start display at page:

Download "USB2"

Transcription

1 USB2.0 KIT 용 적외선 온도센서와 온/습도 센서 보드 1. 주의 사항(필독) 2. 시스템 구성 3. USB 프로그램 다운로드 방법 4, PC 프로그램 5. PC Library 사용방법 6. 상품 구입시 회 사 명 : IESystems Website : matrixhj@iesystems.co.kr 전화번호 :

2 1. 주의 사항(필독) 1) USB제공되는 전원만 사용하는 제품입니다. 따로 전원을 제공할 필요는 없습니 다. 2) USB2.0 KIT를 장착할 경우 아래의 제품 사진처럼 올바른 방향으로 장착해야 합니다. 3) 보드에 장착된 USB2.0 KIT를 분리할 경우에 반드시 약한 힘을 이용하여 좌우를 조금씩 위로 올려서 분리하셔야 커넥터 핀이 휘어지지 않습니다. 4) 적외선 온도센서(DSTM-01)를 탈 부착이 가능하며 만약 보드에서 분리해서 다시 장착할 경우 제품 사진처럼 올바른 방향으로 장착하셔야 합니다. 5) 온/습도 센서(SHT71)은 보드에서 분리할 수 없습니다.

3 2. 시스템 구성 USB2.0 KIT가 장착되는 부분 USB전원 사용하기 위한 연결방법 DSTM-01 SHT71 내부 메모리를 사용 하기 위한 연결 방법 USB2.0 KIT 장착된 형태로 USB 자체전원만으로 동작함 1) USB2.0 KIT에서 내부 메모리를 사용하기 위해서는 시리얼 EEPROM에 USB 프로그램을 다운로드 한 상태에서 사용 가능하지만 바로 SRAM에 프로그램을 다운로드 해서 사용해도 됩니다. 자세한 설명은 3장에서 설명하겠습니다. 2). 적외선 온도 센서 - 디웰전자의 비접촉식 적외선 온도센서모듈소형(DSTM-01) IC 2 통신방식 디바이스마트에 판매되고 있는 모듈입니다.

4 적외선 온도센서의 상세정보는 디바이스마트에서 제공되는 데이터시트를 참조하십시오. - 적외선 온도 센서는 센서의 앞쪽 공간의 평균값을 표시함. 신속하게 온도 변화를 볼 수 있는 장점이 있음. 3) 온/습도 센서 - SENSIRION사의 온/습도 센서 SHT71 - IC 2 통신방식 디바이스마크에 판매되고 있는 모듈입니다. 온/습도 센서의 상세정보는 디바이스마크에서 제공되는 데이터시트를 참조 하십시오 - 적외선 온도 센서보다는 온도 변화를 추적하는데 조금 느린 편입니다. 하지만 습도 센서와 함께 있다는 장점이 있습니다.

5 3. USB 프로그램 다운로드 방법 SRAM EEPROM 위의 프로그램은 Cypress에서 제공되어지는 프로그램으로써 USB2.0 KIT에 EEPROM이나 SRAM 프로그램을 다운로드할 수 있습니다. USB 프로그램을 변경하여 컴파일해서 새롭게 사용할 경우 반드시 SRAM 프로그램 을 다운로드한 후에 동작에 이상이 없으면 EEPROM에 프로그램을 다운로드 하시 는 것이 좋습니다. SRAM은 전원이 꺼지면 프로그램이 사라집니다. 하지만 EEPROM에는 전원을 꺼져 도 프로그램이 사라지지 않습니다. 그리고 맨 처음 EEPROM에 프로그램을 다운로 드한 다음에는 반드시 전원을 끈 후 다시 전원을 켜야지만 정상 동작하게 됩니다. CD에 제공되어지는 USB프로그램에서 EEPROM.icc 파일은 USB2.0 KIT의 EEPROM에 다운로드하기 위한 파일이며, portcstb.hex 파일은 USB2.0 KIT의 SRAM에 다운로드하기 위한 파일입니다.

6 4. PC프로그램 실제 온도와 습도 변화를 보여주는 프로그램입니다.

7 5. PC Library 사용방법 : CD내에서 Program Library 디렉터리 내에 들어있는 2개의 파일 FX2dll.dll과 FX2dll.lib는 반드시 사용자가 만든 실행 프로그램이 있는 디렉토리에 같이 존재 해야 합니다. IESystems에서 제공하는 예제에서도 실행 파일이 있는 디렉토리 내 에 Library 파일이 존재합니다. (1) Visual C++6.0을 이용하여 기본 틀을 구성합니다. 여기서 사용된 예는 주로 Control Box 창을 이용하였습니다. (여기서는 Visual C++에 대한 자세한 이야 기는 생략합니다. 필요한 부분은 전문 서적을 참조 바랍니다) (2) 기본 틀을 구성하고 난 뒤에 IESystems에서 제공되는 Library 파일을 실행 파일이 만들어지는 디렉토리에 복사합니다. 그런 후에 Visual C++프로그램에서 Library 파일을 연결시켜 줍니다. - Library 파일 연결 방법 빨간 표시가 있는 부분을 순서대로 선택하면 아래와 같이 됩니다. 1 FileView 선택 2 Project 이름을 선택한 후 마우스 오른쪽 버튼을 클릭하여 팝업 창이 나타 나도록 한다. 3 팝업 창에서 Add Files to Project 선택하면 다음 페이지의 창이 나타남.

8 위의 창에서 Library Files를 선택한 후에 IESystems에서 제공하는 Library 파일 을 연결하면 됩니다. (3) Head File(*Dlg.h)에 Library함수 사용을 위한 선언을 합니다. 예) extern "C"_declspec(dllimport) BOOLEAN USB_Write(HANDLE hdevice, int Data_Size, int pattern[64]); extern "C"_declspec(dllimport) int USB_Read(HANDLE hdevice, int Data_Size_In, int Read_Data[64]); extern "C"_declspec(dllimport) BOOLEAN bopendriver (HANDLE * phdevicehandle, PCHAR devname); - 위에서 선언한 함수에 사용법은 아래와 같습니다. 먼전 USB2.0 KIT의 Driver를 열기 위한 함수 bopendriver()함수를 선언합니다. 선언하는 방법은 아래와 같습니다. 먼저 아래와 같이 변수를 선언해야 합니다. HANDLE hdevice = NULL; char pcdrivername[8] = "ezusb-0"; 다음으로 아래와 같이 프로그램을 적어 줍니다. if (bopendriver (&hdevice, pcdrivername) == TRUE) {} else { MessageBox ("Failed to Open Driver"); hdevice = NULL; }

9 위의 과정은 틀림없이 한번 거쳐야 USB_Write()함수와 USB_Read()함수를 사용 할 수 있습니다. - USB_Write(hDevice, 전송 데이터 크기, 전송 데이터) (1) hdeivce : bopendriver()함수를 위한 변수 선언에서 이미 선언된 변수입니다. (2) 전송 데이터 크기 : USB2.0 KIT는 한번에 최대 64 bytes 데이터를 보낼 수 있습니다. (3) 전송 데이터 : 사용자가 USB2.0 KIT로 전송할 데이터 값을 넣는 곳입니다. 예) 64 bytes의 데이터를 전송할 경우 HANDLE hdevice = NULL; char pcdrivername[8] = "ezusb-0"; int pattern[64]; int Data_Size; if (bopendriver (&hdevice, pcdrivername) == TRUE) {} else { MessageBox ("Failed to Open Driver"); hdevice = NULL; } for(int i=0; i<64;i++) { pattern[i]=i; } USB_Write(hDevice, Data_Size, &Output_Value[0]); CloseHandle (hdevice); //USB에 관련된 프로그램을 종료하기 전에 꼭 필요함. 위와 같이 프로그램을 작성한 후 실행하면 64개의 데이터가 USB 프로그램의 EP1OUTBUF[0] ~ EP1OUTBUF[63]로 전달되게 됩니다. 이렇게 PC로부터 USB 버퍼로 전달된 데이터는 사용자가 원하는 데로 프로그램을 작성하면 됩니다.

10 - USB_Read(hDevice, 입력 데이터 크기, 전송 받을 데이터) (1) 입력 데이터 크기 : 입력 데이터 크기는 항상 64로 해야 합니다. (2) 전송 받을 데이터 : 64개의 데이터가 입력됩니다. 예) USB2.0 KIT로부터 데이터를 입력 받음. HANDLE hdevice = NULL; char pcdrivername[8] = "ezusb-0"; BOOLEAN bresult = FALSE; int Read_Data[64],Data_Size_In; CString Read; CListBox *plist=(clistbox *)GetDlgItem(IDC_LIST1); if (bopendriver (&hdevice, pcdrivername) == TRUE) {} else { MessageBox ("Failed to Open Driver"); hdevice = NULL; } Data_Size_In=64; bresult=usb_read(hdevice, Data_Size_In, &Read_Data[0]); CloseHandle (hdevice); //USB에 관련된 프로그램을 종료하기 전에 꼭 필요함. 위와 같이 프로그램을 입력하게 되면 USB 프로그램의 EP1INBUF[0]~ EP1INBUF[63]까지의 64개 USB 데이터가 PC의 Read_Data[0] ~ Read_Data[63]까지의 변수에 입력하게 됩니다. Read_Data 버퍼에 저장된 USB로부터 입력된 데이터를 사용자가 원하는 데로 프 로그램을 작성하시면 됩니다.

11 5. 상품 구입시 Visual C++ 소스 코드 및 USB 소스 코드 그리고 회로도가 첨부됩니다.

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

untitled

untitled 200 180 ( ) () 1,060 1,040 160 140 120 / () 1,020 1,000 980 100 960 80 940 60 920 2005.1 2005.2 2005.3 2005.4 2006.1 2006.2 2006.3 2006.4 2007.1 2007.2 2007.3 150000 () (% ) 5.5 100000 CD () 5.4 50000

More information

<30352D30312D3120BFB5B9AEB0E8BEE0C0C720C0CCC7D82E687770>

<30352D30312D3120BFB5B9AEB0E8BEE0C0C720C0CCC7D82E687770> IT법률컨설팅 강의교안 (상) 영문계약의 이해 소프트웨어 자산관리기법 영문계약의 이해 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 -

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 3 if, if else, if else if, switch case for, while, do while break, continue : System.in, args, JOptionPane for (,, ) @ vs. logic data method variable Data Data Flow (Type), ( ) @ Member field

More information

C프로-3장c03逞풚

C프로-3장c03逞풚 C h a p t e r 03 C++ 3 1 9 4 3 break continue 2 110 if if else if else switch 1 if if if 3 1 1 if 2 2 3 if if 1 2 111 01 #include 02 using namespace std; 03 void main( ) 04 { 05 int x; 06 07

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

농어촌여름휴가페스티벌(1-112)

농어촌여름휴가페스티벌(1-112) 좋아유~보은!여러가지 체험으로자연을누려보세요 보은군 농촌체험산업협의회 맑은물 맑은공기비단강숲마을 영동군 비단강 숲마을 보은군은 전국 어디서나 찾아오기 쉬우며, 비단강 숲마을은 자연 그대로가 마을 곳곳에 녹아 잘 보존된 깨끗한 자연환경과 천년의 신비를 간직 흐르는 곳이다. 푸르른 들녘과 알록달록 익어 가는 과일, 한 속리산과 법주사, 장안면 아흔아홉간집, 서원계

More information

2 전개과정 지도계획 주기 주제 활동 방법 및 내용 성취수준 주제발현 브레인스토밍 유목화 1 한식 알아보기 2 4 5 요리법 연구하고, 학고역할분담 조리 계획하기 생명과 음식 영화감상하기 생명과 음식 토론하기 한식 식사예절 알아보기 6 음식 주제발현, 브레인스토밍, 유

2 전개과정 지도계획 주기 주제 활동 방법 및 내용 성취수준 주제발현 브레인스토밍 유목화 1 한식 알아보기 2 4 5 요리법 연구하고, 학고역할분담 조리 계획하기 생명과 음식 영화감상하기 생명과 음식 토론하기 한식 식사예절 알아보기 6 음식 주제발현, 브레인스토밍, 유 Ⅰ. Ⅰ. 우리의 맛 을 찾아서 ( 4 월 27 주 ~4 월 0 일 ) 6학년 1 주제 선정 및 흐름 주제 선정의 이유 봄 여름 우리의 맛 을 찾아서 뉴스를 만들어 보자 한식은 우리 조상의 지혜 담긴 맛과 멋이 살아 있는 우수한 음식이다. 건강에 대한 관심 이 높아지고 한식이 건강에 좋은 음식이므로 주목받게 되면서 많은 사람이 한식을 즐기고 있다. 이에 우리반에서는

More information

BMP 파일 처리

BMP 파일 처리 BMP 파일처리 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 영상반전프로그램제작 2 Inverting images out = 255 - in 3 /* 이프로그램은 8bit gray-scale 영상을입력으로사용하여반전한후동일포맷의영상으로저장한다. */ #include #include #define WIDTHBYTES(bytes)

More information

02 C h a p t e r Java

02 C h a p t e r Java 02 C h a p t e r Java Bioinformatics in J a va,, 2 1,,,, C++, Python, (Java),,, (http://wwwbiojavaorg),, 13, 3D GUI,,, (Java programming language) (Sun Microsystems) 1995 1990 (green project) TV 22 CHAPTER

More information

UNIST_교원 홈페이지 관리자_Manual_V1.0

UNIST_교원 홈페이지 관리자_Manual_V1.0 Manual created by metapresso V 1.0 3Fl, Dongin Bldg, 246-3 Nonhyun-dong, Kangnam-gu, Seoul, Korea, 135-889 Tel: (02)518-7770 / Fax: (02)547-7739 / Mail: contact@metabrain.com / http://www.metabrain.com

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

2004 9 60 500 2004 11 1945 10 15 1963 1965 1974 12 2006 6 28 2008 1988 1982 1996 1991 1994

2004 9 60 500 2004 11 1945 10 15 1963 1965 1974 12 2006 6 28 2008 1988 1982 1996 1991 1994 T H E N A T I O N A L L I B R A R Y O F K O R E A T H E N A T I O N A L L I B R A R Y O F K O R E A THE NATIONAL LIBRARY OF KOREA www.nl.go.kr 2004 9 60 500 2004 11 1945 10 15 1963 1965 1974 12 2006 6

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

chap 5: Trees

chap 5: Trees 5. Threaded Binary Tree 기본개념 n 개의노드를갖는이진트리에는 2n 개의링크가존재 2n 개의링크중에 n + 1 개의링크값은 null Null 링크를다른노드에대한포인터로대체 Threads Thread 의이용 ptr left_child = NULL 일경우, ptr left_child 를 ptr 의 inorder predecessor 를가리키도록변경

More information

선택적 복지제도 내규 제정 2010 5 14내규 제128호 개정 2011 2 16내규 제136호(직제규정시행내규) 개정 2012 2 15내규 제151호 제1장 총 칙 제1조(목적)이 내규는 구리농수산물공사 임직원의 선택적 복지제도의 도입에 관 한 기본원칙,운영절차 및 유지관리 등에 관한 사항을 정함으로써 임직원의 다양 한 복지수요를 효과적으로 충족시키고 업무

More information

<C6EDC1FDBABB2DB5F0C0DAC0CEBAD0BEDF2E687770>

<C6EDC1FDBABB2DB5F0C0DAC0CEBAD0BEDF2E687770> 2009. 9 2009. 9 일러 두기 1. 본 책자는 심판관의 전문성을 제고하고 심판품질을 향상하기 위한 심판관 보수교육 교재로 편찬한 것으로써 먼저 권리별(상표, 디자인, 특허 실용 신안)로 대별하고, 특허 실용신안에 대하여는 기계 금속 건설, 화학 생명공학, 전기 전자 통신 분야로 구분하여 발간하였습니다. 2. 본 책자에 게재된 판결문은 2009년 4

More information

8 장데이터베이스 8.1 기본개념 - 데이터베이스 : 데이터를조직적으로구조화한집합 (cf. 엑셀파일 ) - 테이블 : 데이터의기록형식 (cf. 엑셀시트의첫줄 ) - 필드 : 같은종류의데이터 (cf. 엑셀시트의각칸 ) - 레코드 : 데이터내용 (cf. 엑셀시트의한줄 )

8 장데이터베이스 8.1 기본개념 - 데이터베이스 : 데이터를조직적으로구조화한집합 (cf. 엑셀파일 ) - 테이블 : 데이터의기록형식 (cf. 엑셀시트의첫줄 ) - 필드 : 같은종류의데이터 (cf. 엑셀시트의각칸 ) - 레코드 : 데이터내용 (cf. 엑셀시트의한줄 ) 8 장데이터베이스 8.1 기본개념 - 데이터베이스 : 데이터를조직적으로구조화한집합 (cf. 엑셀파일 ) - 테이블 : 데이터의기록형식 (cf. 엑셀시트의첫줄 ) - 필드 : 같은종류의데이터 (cf. 엑셀시트의각칸 ) - 레코드 : 데이터내용 (cf. 엑셀시트의한줄 ) - DDL(Data Definition Language) : show, create, drop

More information

K&R2 Reference Manual 번역본

K&R2 Reference Manual 번역본 typewriter structunion struct union if-else if if else if if else if if if if else else ; auto register static extern typedef void char short int long float double signed unsigned const volatile { } struct

More information

부서: 감사담당관 정책: 행정의 투명성 제고 단위: 민원발생사전예방 1)민원심의위원 수당 70,000원*9명*3회 1,890 203 업무추진비 5,800 5,800 0 03 시책추진업무추진비 5,800 5,800 0 1)민원심의 업무추진 250,000원*4회 1,000

부서: 감사담당관 정책: 행정의 투명성 제고 단위: 민원발생사전예방 1)민원심의위원 수당 70,000원*9명*3회 1,890 203 업무추진비 5,800 5,800 0 03 시책추진업무추진비 5,800 5,800 0 1)민원심의 업무추진 250,000원*4회 1,000 2010년도 본예산 일반회계 전체 세 출 예 산 사 업 명 세 서 부서: 감사담당관 정책: 행정의 투명성 제고 단위: 감사조사업무추진 감사담당관 237,164 236,349 815 행정의 투명성 제고 99,644 95,009 4,635 감사조사업무추진 59,947 54,185 5,762 청렴도 업무수행 52,727 45,465 7,262 201 일반운영비 1,927

More information

JMF2_심빈구.PDF

JMF2_심빈구.PDF JMF JSTORM http://wwwjstormpekr Issued by: < > Document Information Document title: Document file name: Revision number: Issued by: JMF2_ doc Issue Date: Status: < > raica@nownurinet

More information

웃음 지기 이기준 2014년 봄호 10 년간의 사랑 이야기 마리루시 수녀 그리스도의 교육수녀회 관구장 여 는 글 Contents 여는글 I 10년간의 사랑 이야기 1 아동학대 현황보고 2 사업 I 교육 사업 3 홍보 사업 5 자원발 사업 5 그룹홈 6 햇살가득그룹홈 이

웃음 지기 이기준 2014년 봄호 10 년간의 사랑 이야기 마리루시 수녀 그리스도의 교육수녀회 관구장 여 는 글 Contents 여는글 I 10년간의 사랑 이야기 1 아동학대 현황보고 2 사업 I 교육 사업 3 홍보 사업 5 자원발 사업 5 그룹홈 6 햇살가득그룹홈 이 www.ad1391.org 아동학대 상담 및 신고 1577-1391 경북안동아동보호전문기관 식지 2014년 봄호 통권 제31호 경북안동 재)그리스도의 교육수녀원 웃음 지기 이기준 2014년 봄호 10 년간의 사랑 이야기 마리루시 수녀 그리스도의 교육수녀회 관구장 여 는 글 Contents 여는글 I 10년간의 사랑 이야기 1 아동학대 현황보고 2 사업 I 교육

More information

UI TASK & KEY EVENT

UI TASK & KEY EVENT KEY EVENT & STATE 구현 2007. 1. 25 PLATFORM TEAM 정용학 차례 Key Event HS TASK UI TASK LONG KEY STATE 구현 소스코드및실행화면 질의응답및토의 2 KEY EVENT - HS TASK hs_task keypad_scan_keypad hs_init keypad_pass_key_code keypad_init

More information

Dialog Box 실행파일을 Web에 포함시키는 방법

Dialog Box 실행파일을 Web에 포함시키는 방법 DialogBox Web 1 Dialog Box Web 1 MFC ActiveX ControlWizard workspace 2 insert, ID 3 class 4 CDialogCtrl Class 5 classwizard OnCreate Create 6 ActiveX OCX 7 html 1 MFC ActiveX ControlWizard workspace New

More information

chap01_time_complexity.key

chap01_time_complexity.key 1 : (resource),,, 2 (time complexity),,, (worst-case analysis) (average-case analysis) 3 (Asymptotic) n growth rate Θ-, Ο- ( ) 4 : n data, n/2. int sample( int data[], int n ) { int k = n/2 ; return data[k]

More information

<B1B3C8C620B1B3B0A12E687770>

<B1B3C8C620B1B3B0A12E687770> 창학정신 全 人 育 成 교 훈 바르게, 튼튼하게, 부지런하게 교 표 로고 형태는 바르게, 정직한 인격을 갖춘 온후하고 원만한 여성의 모습을 뜻함 초록색 내일을 향하여 달리는 청소년의 기상을 상징하는 희망을 뜻함 흰 깃 봉황새의 깃으로 경사스러움과 청순함이 깃든 싱싱하고 활달한 여성상을 나타내고 있음 교 목 은행나무 단단하고 끈기가 있으며 끝없이 곧게 위로 성장하는

More information

Chapter 4. LISTS

Chapter 4. LISTS 6. 동치관계 (Equivalence Relations) 동치관계 reflexive, symmetric, transitive 성질을만족 "equal to"(=) 관계는동치관계임. x = x x = y 이면 y = x x = y 이고 y = z 이면 x = z 동치관계를이용하여집합 S 를 동치클래스 로분할 동일한클래스내의원소 x, y 에대해서는 x y 관계성립

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

피아노는 첨단기술과 예술의 완벽한 시너지를 통 해 세상에 나옵니다. 피아노는 연주자의 감성을 반영하는 사운드를 만들 수 있습니다. 피아노 생산의 마지막 단계를 보이싱(Voicing) 이라고 부릅니다. 이 과정을 통해 악기에 호흡을 불어넣고 진정한 음악을 만듭니다. 이를

피아노는 첨단기술과 예술의 완벽한 시너지를 통 해 세상에 나옵니다. 피아노는 연주자의 감성을 반영하는 사운드를 만들 수 있습니다. 피아노 생산의 마지막 단계를 보이싱(Voicing) 이라고 부릅니다. 이 과정을 통해 악기에 호흡을 불어넣고 진정한 음악을 만듭니다. 이를 피아노는 첨단기술과 예술의 완벽한 시너지를 통 해 세상에 나옵니다. 피아노는 연주자의 감성을 반영하는 사운드를 만들 수 있습니다. 피아노 생산의 마지막 단계를 보이싱(Voicing) 이라고 부릅니다. 이 과정을 통해 악기에 호흡을 불어넣고 진정한 음악을 만듭니다. 이를 위해 고 도로 숙련된 전문가들은 각각의 부분에 자신의 집중력과 감성을 집중하여 피아노 줄을

More information

歯MDI.PDF

歯MDI.PDF E08 MDI SDI(Single Document Interface) MDI(Multiple Document Interface) MDI (Client Window) (Child) MDI 1 MDI MDI MDI - File New Other Projects MDI Application - MDI - OK [ 1] MDI MDI MDI MDI Child MDI

More information

歯20010629-001-1-조선일보.PDF

歯20010629-001-1-조선일보.PDF 6. 29 () 11:00 ( ) 20 0 1. 6. 29 11( ).(397-1941) 1. 2. 3. 4. 5. 1. 28, 60() (,, ) 30 619(, 6. 29) () 6 (,,,,, ),,, - 1 - < > (, ), () < > - 2 - 2.,,, 620,, - 3 - 3. ( ) 1,614,, 864 ( ) 1,6 14 864 () 734

More information

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M.

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. 오늘할것 5 6 HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. Review: 5-2 7 7 17 5 4 3 4 OR 0 2 1 2 ~20 ~40 ~60 ~80 ~100 M 언어 e ::= const constant

More information

AGC9112Kr N090708

AGC9112Kr N090708 AGC-9112WM CD/MP3 Car Stereo with FM/AM Radio AGC-9112WM 1 2 AGC-9112WM AGC-9112WM 3 4 AGC-9112WM 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 AGC-9112WM 5 1 2 3 SOUND 1 2 6 AGC-9112WM 1 3 BAND TUNE TUNE SEEK

More information

10주차.key

10주차.key 10, Process synchronization (concurrently) ( ) => critical section ( ) / =>, A, B / Race condition int counter; Process A { counter++; } Process B { counter ;.. } counter++ register1 = counter register1

More information

09-interface.key

09-interface.key 9 Database insert(record r): boolean find(key k): Record 1 Record getkey(): Key * Record Key Database.? Key equals(key y): boolean Database insert(record r): boolean find(key k): Record * Database OK 1

More information

http://cafedaumnet/pway Chapter 1 Chapter 2 21 printf("this is my first program\n"); printf("\n"); printf("-------------------------\n"); printf("this is my second program\n"); printf("-------------------------\n");

More information

Microsoft PowerPoint 자바-기본문법(Ch2).pptx

Microsoft PowerPoint 자바-기본문법(Ch2).pptx 자바기본문법 1. 기본사항 2. 자료형 3. 변수와상수 4. 연산자 1 주석 (Comments) 이해를돕기위한설명문 종류 // /* */ /** */ 활용예 javadoc HelloApplication.java 2 주석 (Comments) /* File name: HelloApplication.java Created by: Jung Created on: March

More information

12-file.key

12-file.key 11 (String).. java.lang.stringbuffer. s String s = "abcd"; s = s + "e"; a b c d e a b c d e ,., "910359,, " "910359" " " " " (token) (token),, (delimiter). java.util.stringtokenizer String s = "910359,,

More information

Motor

Motor Interactive Workshop for Artists & Designers Earl Park Motor Servo Motor Control #include Servo myservo; // create servo object to control a servo int potpin = 0; // analog pin used to connect

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

1

1 7차시. 이즐리와 택시도를 활용한 인포그래픽 제작 1. 이즐리 사이트에 대해 알아보고 사용자 메뉴 익히기 01. 이즐리(www.easel.ly) 사이트 접속하기 인포그래픽 제작을 위한 이즐리 사이트는 무료로 제공되는 템플릿을 이용하여 간편하게 인포그래 픽을 만들 수 있는 사이트입니 이즐리는 유료, 무료 구분이 없는 장점이 있으며 다른 인포그래픽 제작 사이트보다

More information

5 167 Python Jon Franklin Python Python Python Python USB USB RS485 C Python DLL Python Python dll Python Python ctypes dll ctypes Python C Linux Wind

5 167 Python Jon Franklin Python Python Python Python USB USB RS485 C Python DLL Python Python dll Python Python ctypes dll ctypes Python C Linux Wind 5 167 Python Jon Franklin Python Python Python Python USB USB RS485 C Python DLL Python Python dll Python Python ctypes dll ctypes Python C Linux Windows Python C ctypes dll C dll C 168 159 168 DLL Windows

More information

chap7.key

chap7.key 1 7 C 2 7.1 C (System Calls) Unix UNIX man Section 2 C. C (Library Functions) C 1975 Dennis Ritchie ANSI C Standard Library 3 (system call). 4 C?... 5 C (text file), C. (binary file). 6 C 1. : fopen( )

More information

로열티를 다른 나라 기업의 기술을 사용하는데 지불하고 있을 만큼 원천기술이 부족하다중국에 의해 원가절감을 통한 가격경쟁력의 강조가 더 이상 국가경쟁력 확보의 핵심적인 요인이 아님이 드러났음에도 불구하고 여전히 가격 경쟁력을 주장하는 경향을 보이고 있다한국은 년대와 년대

로열티를 다른 나라 기업의 기술을 사용하는데 지불하고 있을 만큼 원천기술이 부족하다중국에 의해 원가절감을 통한 가격경쟁력의 강조가 더 이상 국가경쟁력 확보의 핵심적인 요인이 아님이 드러났음에도 불구하고 여전히 가격 경쟁력을 주장하는 경향을 보이고 있다한국은 년대와 년대 창조산업의 육성과 혁신의 과제 윤 병 운* I. 서론 4 한국경제는 년대 산업화 시대를 거쳐 전자 자동차 철강 등 제조업 중심의 성장 전략을 통해 세계 위권 규모의 경제를 달성하게 되었다그러나 최근에는 경제 성장률년대 에는 년대에는 및 고용 증가율년대 에서 년대에는이 점차적으로 하락하고 있으며경제성장의 한계상황에 처해 있는 것으로 인식되고 있다특히 고부가가치

More information

(72) 발명자 서진교 경기 용인시 수지구 풍덕천2동 1167 진산마을 삼성5차아파트526동 1004호 조필제 경기 용인시 풍덕천동 725-1 유스빌 401호 - 2 -

(72) 발명자 서진교 경기 용인시 수지구 풍덕천2동 1167 진산마을 삼성5차아파트526동 1004호 조필제 경기 용인시 풍덕천동 725-1 유스빌 401호 - 2 - (51) Int. Cl. (19) 대한민국특허청(KR) (12) 공개특허공보(A) G06F 12/14 (2006.01) (21) 출원번호 10-2006-0056087 (22) 출원일자 2006년06월21일 심사청구일자 전체 청구항 수 : 총 18 항 2006년06월21일 (54) 유에스비 메모리 도난 방지 시스템 및 방법 (11) 공개번호 10-2007-0121264

More information

History Created adstarsdk Reference Manual cadvanced Digital Chips Inc. All right reserved. No part of this document may be reproduced in a

History Created adstarsdk Reference Manual cadvanced Digital Chips Inc. All right reserved. No part of this document may be reproduced in a EGL - Embedded Graphic Library - Ver 1.00 December 31. 2012 Advanced Digital Chips Inc. 1 History 2012-12-31 Created adstarsdk Reference Manual cadvanced Digital Chips Inc. All right reserved. No part

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

untitled

untitled 1. void inorder(tree_ptr ptr) { if(ptr) { inorder(ptr->left_child); printf( %d,ptr->data); inorder(ptr->right_child); 2) => A / B * C * D + E () A / B * C * D + E void preorder(tree_ptr ptr) { if(ptr)

More information

( )부록

( )부록 A ppendix 1 2010 5 21 SDK 2.2. 2.1 SDK. DevGuide SDK. 2.2 Frozen Yoghurt Froyo. Donut, Cupcake, Eclair 1. Froyo (Ginger Bread) 2010. Froyo Eclair 0.1.. 2.2. UI,... 2.2. PC 850 CPU Froyo......... 2. 2.1.

More information

PL10

PL10 assert(p!=null); *p = 10; assert(0

More information

Sena Technologies, Inc. HelloDevice Super 1.1.0

Sena Technologies, Inc. HelloDevice Super 1.1.0 HelloDevice Super 110 Copyright 1998-2005, All rights reserved HelloDevice 210 ()137-130 Tel: (02) 573-5422 Fax: (02) 573-7710 E-Mail: support@senacom Website: http://wwwsenacom Revision history Revision

More information

본 발명은 중공코어 프리캐스트 슬래브 및 그 시공방법에 관한 것으로, 자세하게는 중공코어로 형성된 프리캐스트 슬래브 에 온돌을 일체로 구성한 슬래브 구조 및 그 시공방법에 관한 것이다. 이를 위한 온돌 일체형 중공코어 프리캐스트 슬래브는, 공장에서 제작되는 중공코어 프

본 발명은 중공코어 프리캐스트 슬래브 및 그 시공방법에 관한 것으로, 자세하게는 중공코어로 형성된 프리캐스트 슬래브 에 온돌을 일체로 구성한 슬래브 구조 및 그 시공방법에 관한 것이다. 이를 위한 온돌 일체형 중공코어 프리캐스트 슬래브는, 공장에서 제작되는 중공코어 프 (51) Int. Cl. E04B 5/32 (2006.01) (19)대한민국특허청(KR) (12) 등록특허공보(B1) (45) 공고일자 (11) 등록번호 (24) 등록일자 2007년03월12일 10-0693122 2007년03월05일 (21) 출원번호 10-2006-0048965 (65) 공개번호 (22) 출원일자 2006년05월30일 (43) 공개일자 심사청구일자

More information

3. 1 포인터란 3. 2 포인터변수의선언과사용 3. 3 다차원포인터변수의선언과사용 3. 4 주소의가감산 3. 5 함수포인터

3. 1 포인터란 3. 2 포인터변수의선언과사용 3. 3 다차원포인터변수의선언과사용 3. 4 주소의가감산 3. 5 함수포인터 - Part2-3 3. 1 포인터란 3. 2 포인터변수의선언과사용 3. 3 다차원포인터변수의선언과사용 3. 4 주소의가감산 3. 5 함수포인터 3.1 포인터란 ü ü ü. ü. ü. ü ( ) ? 3.1 ü. ü C ( ).? ü ü PART2-4 ü ( ) PART3-4 3.2 포인터변수의선언과사용 3.2 포인터 변수의 선언과 사용 (1/8) 포인터 변수의

More information

교육2 ? 그림

교육2 ? 그림 Interstage 5 Apworks EJB Application Internet Revision History Edition Date Author Reviewed by Remarks 1 2002/10/11 2 2003/05/19 3 2003/06/18 EJB 4 2003/09/25 Apworks5.1 [ Stateless Session Bean ] ApworksJava,

More information

lecture4(6.범용IO).hwp

lecture4(6.범용IO).hwp 제 2 부 C-언어를 사용한 마이크로컨트롤러 활용기초 66 C-언어는 수학계산을 위해 개발된 FORTRAN 같은 고급언어들과는 달 리 Unix 운영체제를 개발하면서 같이 개발된 고급언어이다. 운영체제의 특성상 C-언어는 다른 고급언어에 비해 컴퓨터의 하드웨어를 직접 제어할 수 있는 능력이 탁월하여 마이크로프로세서의 프로그램에 있어서 어셈블 리와 더불어 가장

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

07 자바의 다양한 클래스.key

07 자바의 다양한 클래스.key [ 07 ] . java.lang Object, Math, String, StringBuffer Byte, Short, Integer, Long, Float, Double, Boolean, Character. java.util Random, StringTokenizer Calendar, GregorianCalendar, Date. Collection, List,

More information

실감미디어 제작 전문인 과정 1기 결과보고서

실감미디어 제작 전문인 과정 1기 결과보고서 관리번호 Ⅰ 사업개요 1. 사업목적 산업 분야에 디바이스, 콘텐츠 개발 를 지원하여 사업성과 확산 과 새로운 BM 개발 2. 지원분야 지정과제 과제 번호 과제명 지원금 16-001 모션플랫폼(6DOF)을 활용한 VR 시제품 개발 8천만원 이내 16-002 인터랙티브 가능한 360도 홀로그램 쇼케이스 시제품 개발 4천만원 이내 16-003 HMD와 트레드밀을

More information

주 별 강 의 계 획 강 의 계 획 서 최초의 신발 1 신발의 기원 신발의 특성 샌들과 모카신 보호용 신발 2 조건에 따른 분류 사용 목적에 따른 분류 좋은 신발의 조건 부츠에서 나막신 신발과 권위 3 4 하이힐 로마 통치자의 신발 옥스퍼드 군인용 부츠 신발과 지위 정

주 별 강 의 계 획 강 의 계 획 서 최초의 신발 1 신발의 기원 신발의 특성 샌들과 모카신 보호용 신발 2 조건에 따른 분류 사용 목적에 따른 분류 좋은 신발의 조건 부츠에서 나막신 신발과 권위 3 4 하이힐 로마 통치자의 신발 옥스퍼드 군인용 부츠 신발과 지위 정 2014학년도 1학기 교수코드 : 1997002 E-Mail : ygkim@osan.ac.kr 김영길 신발패션산업과 계열(학과) 전공명 이수구분 학 년 과목코드 과 목 명 수강반 학점 시수 신발패션산업과 공통전공 전선 1 1340126 신발과학사 1 3 3 학습내용 신발 문화의 역사와 기술혁신 과정을 습득하여 신발의 소재와 특성을 이해할 수 있는 능력을 배

More information

歯Lecture2.PDF

歯Lecture2.PDF VISUAL C++/MFC Lecture 2? Update Visual C ++/MFC Graphic Library OpenGL? Frame OpenGL 3D Graphic library coding CLecture1View? OpenGL MFC coding Visual C++ Project Settings Link Tap Opengl32lib, Glu32lib,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

BACK TO THE BASIC C++ 버그 헌팅: 버그를 예방하는 11가지 코딩 습관

BACK TO THE BASIC C++ 버그 헌팅: 버그를 예방하는 11가지 코딩 습관 Hanbit ebook Realtime 30 C++ 버그 헌팅 버그를 예방하는 11가지 코딩 습관 Safe C++ 블라디미르 쿠스퀴니르 지음 / 정원천 옮김 이 도서는 O REILLY의 Safe C++의 번역서입니다. BACK TO THE BASIC C++ 버그 헌팅 버그를 예방하는 11가지 코딩 습관 BACK TO THE BASIC C++ 버그 헌팅 버그를

More information

초보자를 위한 C# 21일 완성

초보자를 위한 C# 21일 완성 C# 21., 21 C#., 2 ~ 3 21. 2 ~ 3 21.,. 1~ 2 (, ), C#.,,.,., 21..,.,,, 3. A..,,.,.. Q&A.. 24 C#,.NET.,.,.,. Visual C# Visual Studio.NET,..,. CD., www. TeachYour sel f CSharp. com., ( )., C#.. C# 1, 1. WEEK

More information

ePapyrus PDF Document

ePapyrus PDF Document 프로그래밍 콘테스트 챌린징 for GCJ, TopCoder, ACM/ICPC, KOI/IOI 지은이 Takuya Akiba, Yoichi Iwata, Mastoshi Kitagawa 옮긴이 박건태, 김승엽 1판 1쇄 발행일 201 1년 10월 24일 펴낸이 장미경 펴낸곳 로드북 편집 임성춘 디자인 이호용(표지), 박진희(본문) 주소 서울시 관악구 신림동 1451-15

More information

상기 DVD 플레이어는 거의 거치형(톱니형)으로 개발되어 텔레비젼, AC3 앰프 및 6개의 스피커 또는 단순 히 스테레오 시스템 등에 연결되어 영화 재생용으로만 특징지워지고, 반면에 상기 DVD-롬 드라이브는 컴 퓨터에 장착되어 소정의 인터페이스 방식을 통해 컴퓨터 테

상기 DVD 플레이어는 거의 거치형(톱니형)으로 개발되어 텔레비젼, AC3 앰프 및 6개의 스피커 또는 단순 히 스테레오 시스템 등에 연결되어 영화 재생용으로만 특징지워지고, 반면에 상기 DVD-롬 드라이브는 컴 퓨터에 장착되어 소정의 인터페이스 방식을 통해 컴퓨터 테 (19) 대한민국특허청(KR) (12) 공개실용신안공보(U) (51) Int. Cl. 6 G11B 15/02 (21) 출원번호 실1997-002319 (22) 출원일자 1997년02월17일 (71) 출원인 삼성전자 주식회사 김광호 (11) 공개번호 실1998-057985 (43) 공개일자 1998년10월26일 경기도 수원시 팔달구 매탄3동 416번지 (72)

More information

Microsoft PowerPoint - lec2.ppt

Microsoft PowerPoint - lec2.ppt 2008 학년도 1 학기 상지대학교컴퓨터정보공학부 고광만 강의내용 어휘구조 토큰 주석 자료형기본자료형 참조형배열, 열거형 2 어휘 (lexicon) 어휘구조와자료형 프로그램을구성하는최소기본단위토큰 (token) 이라부름문법적으로의미있는최소의단위컴파일과정의어휘분석단계에서처리 자료형 자료객체가갖는형 구조, 개념, 값, 연산자를정의 3 토큰 (token) 정의문법적으로의미있는최소의단위예,

More information

03장.스택.key

03장.스택.key ---------------- DATA STRUCTURES USING C ---------------- 03CHAPTER 1 ? (stack): (LIFO:Last-In First-Out) 2 : top : ( index -1 ),,, 3 : ( ) ( ) -> ->. ->.... 4 Stack ADT : (LIFO) : init():. is_empty():

More information

도서관겨울-표지-쫑

도서관겨울-표지-쫑 추천글 퀴즈 다음 내용은 본 독서정보지 행복한 책읽기 속으로 에실린추천글중일부내용을 발췌한 것입니다. 괄호 안에 들어갈 내용은? 사람들은 귀찮음을 싫어해서 이미 정해진 것을 그대로 따르려는 ( )을 가지고 있다. 스페인 정부는 이러한 성향을 이용하여 따로 통보가 없는 한 뇌사상태의 장기는 기증할 수 있도록 정책을 만들었고 이는 상당한 성과를 거두었다고 한다.

More information

1106 학원과정

1106 학원과정 02.764.0027 02.3445.0027 04 36 42 10 16 30 04 05 1961 1999 2002 2004 2009 2010 2015 06 07 10 11 1.720.000 1.540.000 1.800.000 1.620.000 12 13 1.200.000 1.080.000 1.720.000 1.540.000 1.720.000 1.540.000

More information

10.

10. 10. 10.1 10.2 Library Routine: void perror (char* str) perror( ) str Error 0 10.3 10.3 int fd; /* */ fd = open (filename, ) /*, */ if (fd = = -1) { /* */ } fcnt1 (fd, ); /* */ read (fd, ); /* */ write

More information

- - - - - - - - - - - - - - - - - - - - - - - 2 3 - 4 - 5 - 6 - 1 3 2 7 8 9 2-5 OFIX TEL 06-6941-2297 FAX 06-6966-2401 9 00 17 30 E-mail jouhou-c@ofix.or.jp 1 3 2-1-17 13 00 16 00 2 TEL 06-6942-9496 13

More information

<443A5C4C C4B48555C B3E25C32C7D0B1E25CBCB3B0E8C7C1B7CEC1A7C6AE425CC0E7B0EDB0FCB8AE5C53746F636B5F4D616E D656E74732E637070>

<443A5C4C C4B48555C B3E25C32C7D0B1E25CBCB3B0E8C7C1B7CEC1A7C6AE425CC0E7B0EDB0FCB8AE5C53746F636B5F4D616E D656E74732E637070> 1 #include 2 #include 3 #include 4 #include 5 #include 6 #include "QuickSort.h" 7 using namespace std; 8 9 10 Node* Queue[100]; // 추가입력된데이터를저장하기위한 Queue

More information

untitled

untitled if( ) ; if( sales > 2000 ) bonus = 200; if( score >= 60 ) printf(".\n"); if( height >= 130 && age >= 10 ) printf(".\n"); if ( temperature < 0 ) printf(".\n"); // printf(" %.\n \n", temperature); // if(

More information

PCServerMgmt7

PCServerMgmt7 Web Windows NT/2000 Server DP&NM Lab 1 Contents 2 Windows NT Service Provider Management Application Web UI 3 . PC,, Client/Server Network 4 (1),,, PC Mainframe PC Backbone Server TCP/IP DCS PLC Network

More information

OCaml

OCaml OCaml 2009.. (khheo@ropas.snu.ac.kr) 1 ML 2 ML OCaml INRIA, France SML Bell lab. & Princeton, USA nml SNU/KAIST, KOREA 3 4 (let) (* ex1.ml *) let a = 10 let add x y = x + y (* ex2.ml *) let sumofsquare

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

<C6EDC1FD2D3132313230355F323031325FBCBAB9FCC1CBC0DA5FC3EBBEF7C1A6C7D1C1A6B5B55FBEC8B3BB5F2831322E31322920C3D6C1BEBABB2E687770>

<C6EDC1FD2D3132313230355F323031325FBCBAB9FCC1CBC0DA5FC3EBBEF7C1A6C7D1C1A6B5B55FBEC8B3BB5F2831322E31322920C3D6C1BEBABB2E687770> CONTENTS 성범죄자 취업제한제도 및 신고의무제도 안내 제 편 성범죄자 취업제한제도 Ⅰ. 제도 개요 4 1. 관련법령 4 2. 제도 도입배경 4 3. 주요내용 4 Ⅱ. 성범죄자 취업제한제도 5 1. 취업제한대상자 5 2. 취업제한기간 및 적용시점 5 3. 취업제한 내용 6 4. 성범죄자 취업제한대상기관 6 5. 성범죄경력 확인 의무 9 6. 법 위반 시

More information

비긴쿡-자바 00앞부속

비긴쿡-자바 00앞부속 IT COOKBOOK 14 Java P r e f a c e Stay HungryStay Foolish 3D 15 C 3 16 Stay HungryStay Foolish CEO 2005 L e c t u r e S c h e d u l e 1 14 PPT API C A b o u t T h i s B o o k IT CookBook for Beginner Chapter

More information

쿠폰형_상품소개서

쿠폰형_상품소개서 브랜드이모티콘 쿠폰형 상품 소개서 카카오톡 브랜드이모티콘 잘 만든 브랜드이모티콘 하나, 열 마케팅 부럽지 않다! 카카오톡 브랜드이모티콘은 2012년 출시 이후 강력한 마케팅 도구로 꾸준히 사랑 받고 있습니다. 브랜드 아이덴티티를 잘 반영하여 카카오톡 사용자의 적극적인 호응과 브랜딩 지표 향상을 얻고 있는 강력한 브랜드 아이템입니다. Open

More information

Javascript.pages

Javascript.pages JQuery jquery part1 JavaScript : e-mail:leseraphina@naver.com http://www.webhard.co.kr I.? 2 ......,,. : : html5 ; ; .

More information

Microsoft PowerPoint - 04-UDP Programming.ppt

Microsoft PowerPoint - 04-UDP Programming.ppt Chapter 4. UDP Dongwon Jeong djeong@kunsan.ac.kr http://ist.kunsan.ac.kr/ Dept. of Informatics & Statistics 목차 UDP 1 1 UDP 개념 자바 UDP 프로그램작성 클라이언트와서버모두 DatagramSocket 클래스로생성 상호간통신은 DatagramPacket 클래스를이용하여

More information

기본자료형만으로이루어진인자를받아서함수를결과값으로반환하는고차함수 기본자료형과함수를인자와결과값에모두이용하는고차함수 다음절에서는여러가지예를통해서고차함수가어떤경우에유용한지를설명한다. 2 고차함수의 예??장에서대상체만바뀌고중간과정은동일한계산이반복될때함수를이용하면전체연산식을간 단

기본자료형만으로이루어진인자를받아서함수를결과값으로반환하는고차함수 기본자료형과함수를인자와결과값에모두이용하는고차함수 다음절에서는여러가지예를통해서고차함수가어떤경우에유용한지를설명한다. 2 고차함수의 예??장에서대상체만바뀌고중간과정은동일한계산이반복될때함수를이용하면전체연산식을간 단 EECS-101 전자계산입문 고차함수 박성우 2008년5월 29일 지금까지정수나부동소수와같은기본적인자료형의조합을인자로받고결과값으로반환하는 함수에대해서배웠다. 이번강의에서는함수자체를다른함수의인자로이용하거나결과값으로 이용하는 방법을 배운다. 1 고차함수의 의미 계산은무엇을어떻게처리하여결과값을얻는지설명하는것으로이루어진다. 여기서 무엇 과 결 과값 은계산의대상체로서정수나부동소수와같은기본자료형의조합으로표현하며,

More information

졸업논문 되어자전거의현재정보를알려주게된다 시스템의동작절차그림 3-1 리더에서의자전거정보조회동작절차위에동작절차에서알수있듯이리더에서하는동작절차에서는크게 부분으로나눌수있다 리더에서에너지를보내 로부터데이터가전송되면자전거의정보를확인한다 여기서도난당한자전거인

졸업논문 되어자전거의현재정보를알려주게된다 시스템의동작절차그림 3-1 리더에서의자전거정보조회동작절차위에동작절차에서알수있듯이리더에서하는동작절차에서는크게 부분으로나눌수있다 리더에서에너지를보내 로부터데이터가전송되면자전거의정보를확인한다 여기서도난당한자전거인 신에서시작되었다 시스템은리더 정보를저장 하고리더와데이터를교환하는 리더와기업용 사람들이점점더건강을생각하게되고기름값또한고유가의시대로접어들면서자동차의비중이점점 응용프로그램사이에서중계하는미들웨어로구성되어있다 줄어들고있다 자동차의비중이줄어들면서자전거 시스템은 동호회 자전거출 퇴근등자전거를타는사람들은매년꾸준히증가하고있다 이에따라자전거도난사건또한매년증가추세에있다 현재자전거의도난방지를위해다양한자물쇠나잠금장치등이개발되어나오고있지만자전거도난사건자체는크게줄어들지않고있는게현실이다

More information