Master Template Layout

Size: px
Start display at page:

Download "Master Template Layout"

Transcription

1 Automation using Python script VBS 와 Python 을이용한시뮬레이션자동화 Brandon Kim ANSYS Korea ANSYS, Inc. November 17, 2017

2 Script 란? Script 는별도의 compile 과정없이 Window 나 Tool 안에서 source code 상태로바로실행가능한프로그래밍언어를말합니다. ANSYS 는 VBS (Visual Basic Script) 와 IronPython Script 를지원합니다. HFSS, Designer, Q3D, Maxwell, Simplorer 와같은전자해석 tool 에서 user 가수행하는모든작업을사람이알아볼수있는 script code 형태로 저장가능합니다. 이렇게저장된 script 를편집하거나필요시 GUI 를덧붙임으로서 자동화프로그램을제작할수있습니다 ANSYS, Inc. November 17, 2017

3 Automation Script Process Script 저장시작 작업수행 - Project/Design 추가 - 회로 / 모델 Drawing - 해석설정및해석 - 결과 Plot 및 Export Script 저장종료 Script 수정및재실행 기본적으로자동화 Script code 는만드는게아니라저장한후에수정하여사용 User 가선택하고입력하는모든작업과정을 Script 로저장할수있음 ANSYS, Inc. November 17, 2017

4 Script 예제 : Series Cap S-para analysis 직렬 cap 에대해 S parameter sweep 을수행하고, cap 값을변경해가면서 S11 결과를각각 csv 파일로저장하는예제 Script file ANSYS, Inc. November 17, 2017

5 Recording / Stop Script Tools 메뉴에서 Record Script To File을선택한후각종작업을수행한다음, 다시 Stop Script Recording을선택하면저장이종료됩니다 ANSYS, Inc. November 17, 2017

6 VB / Python Script 선택 Record Script To File 선택후파일형식을선택하는화면에서어떤 language 로 script 를저장할지선택할수있습니다 ANSYS, Inc. November 17, 2017

7 Python Vs. VBS Interface (GUI) External Function Hide Source Code IronPython.Net base Read.py,.dll file are possible Compile to DLL, EXE file Visual Basic Script Message box Input box Write in script file Visual Basic Script 는 Excel/Word 등과호환성이좋으며, VB6 등을이용하여초보자도쉽게 GUI를제작할수있다. Python은 GUI 제작이 code base로되어있어서다소까다롭지만, Machine Learning tool과의연계성이나 code의유연성, 암호화측면에서유리하다 ( 현재는 Python이대세 ) ANSYS, Inc. November 17, 2017

8 Python code Vs. VBS code (Drawing Box) Python VBS 예 ) Box 의 x,y,z 방향크기값 두 script code 를비교해보면, 비슷한내용인데약간의문법만다를뿐입니다. Python 쪽이특징별로줄맞춤이잘되어있어서원하는변수위치를찾기편합니다 ANSYS, Inc. November 17, 2017

9 저장된 Python Script file 저장된 file 을열어보면수행된작업을기록한 Python Script 를확인할수있습니다 ANSYS, Inc. November 17, 2017

10 저장된 Script 내용자세히살펴보기 1. 기본선언부및 Project / Design 생성 2. Capacitor 배치 3. Capacitor 값입력 4. Port 추가 5. Linear Analysis Setup 추가 6. 해석수행및 S11 Plot 7. 그래프를 csv 파일로저장 ANSYS, Inc. November 17, 2017

11 1. 기본선언부및 Project / Design 생성 script 공통선언문 ( 수정불필요 ) 신규 Project 생성 Circuit Design 추가. 아래두줄은 Circuit design 추가시자동으로해당 design을선택해주고편집모드를시작해주는선언문 ANSYS, Inc. November 17, 2017

12 2. Capacitor 배치 script Cap 이추가된것임을 확인할수있음 Cap 이배치된좌표 ANSYS, Inc. November 17, 2017

13 3. Capacitor 값입력 Script 입력된 cap 값확인 ANSYS, Inc. November 17, 2017

14 4. Port 추가 Script ANSYS, Inc. November 17, 2017

15 5. Linear Analysis Setup 추가 Script 다른설정영역으로넘어갈때는자동으로 module 변환줄이추가됨 Linear Frequency (S 파라미터해석 ) 추가 주파수 sweep 범위및 step ( 본예제의경우는 1MHz 에서 100MHz 까지 log scale 로 100 point 설정 ) ANSYS, Inc. November 17, 2017

16 6. 해석수행및 S11 Plot S11 을지정하여 plot ANSYS, Inc. November 17, 2017

17 7. 그래프를 csv 파일로저장 저장하고자하는 Plot 이름 저장하려는 folder 위치및파일명 저장된 CSV 파일 ANSYS, Inc. November 17, 2017

18 Script 재실행 메뉴의 Tools Run Script를선택하고저장된 script 파일을선택하면, 저장된과정이그대로재현되면서 Drawing, 설정, 해석및결과 export까지자동으로수행됨 ANSYS, Inc. November 17, 2017

19 Script 수정후재실행 Cap 값의위치를찾아서 200pF 로수정후저장 다시 Run Script Script 를다시실행하면자동으로변경된 cap 값으로 다시회로를구성하여해석된결과를표시 ANSYS, Inc. November 17, 2017

20 Script 자동화에앞서 앞에서보였던것처럼, user가수행하는각종작업을모두사람이알아볼수있는 Text 형태의 Script file로저장할수있습니다. ( 외계어아닙니다 ) 해석결과와무관한 Zoom / Pan 과같은 UI 기능은저장되지않습니다. ( 예외적으로 Fit All은저장 ) 각각의 Script line을살펴보면, 중요한입력변수들의위치를알아보기쉽게잘정리되어있습니다. Script 를수정하여다른형태의동작을구현하려면, 먼저변경을원하는값을 프로그램변수로만들어주는것이편리합니다 ANSYS, Inc. November 17, 2017

21 프로그램변수설정하기 변수명과값을숫자로입력 변수를단위와함께 script 의해당위치에삽입 Python은별도의변수선언이필요없으며, 그냥변수명과값만지정하면값의특성에따라알아서정수형, 실수형, 문자형중에서지정됩니다. 변수를 script에넣을때는단위와함께넣어야하며, 단위 (pf) 는문자형변수이기때문에이를합치기위해서는숫자형변수를문자형으로바꾸어주는 str( ) 구문이추가됩니다 ANSYS, Inc. November 17, 2017

22 반복문 (for) 추가하기 C1 값을 100 pf 에서 1000pF 까지 100pF 씩증가시켜가면서반복 For 문을이용하면변수를정해진범위안에서 sweep하면서구문을반복할수있습니다. Python에서 for문은별도의반복범위종료선언 (next) 가없으며, 탭키를이용하여한칸안쪽으로들어간구문들을반복하게됩니다. ( 파란색화살표위치 ) ANSYS, Inc. November 17, 2017

23 Export 파일이름자동으로지정하기 For 문영역안에 export 구문을추가하고 (tab 키이용 ) 위와같이저장할파일명중간에 변수값을포함하게하면알아보기쉬운파일명을자동으로생성할수있습니다 ANSYS, Inc. November 17, 2017

24 Lesson 1 1. 해석과정을 script 로저장 2. Script 수정 3. 변수별로해석된결과를 외부파일로각각저장 위와같은기본적인 script 활용을통해, user가 tuning하고싶은변수범위의해석결과를별도의외부파일로자동적으로생성해낼수있습니다. 이렇게생성된변수별결과파일들을 Machine Learning Tool의 training data로사용할수도있습니다 ANSYS, Inc. November 17, 2017

25 Python Example #2 : Q3D Extractor 1. Create Box 4 duplicate 2. Auto Net assign & Simulation Setup 3. Analysis & export GC ANSYS, Inc. November 17, 2017

26 Example #2 : Q3D Python Script ANSYS, Inc. November 17, 2017

27 Q3D Python Script Trace (box) 그리기 시작좌표및방향별크기 이름및재질, 색상, 기타설정부 ANSYS, Inc. November 17, 2017

28 Q3D Python Script Trace 복제하기 (4 개 ) 복제할원본개체 복제방향및거래, 개수 ANSYS, Inc. November 17, 2017

29 Q3D Python Script 해석설정및해석, 데이터추출 Net 자동인식하기 Simulation Setup 설정하기 저장후해석하기 GC 데이터를 CSV 파일로 export 하기 ANSYS, Inc. November 17, 2017

30 Run Script 모든 project 를닫고저장된 Python script 파일을실행하면동일한작업을재현 Script 내용만다를뿐, Designer, HFSS, Q3D, Maxwell 등의 ANSYS Tool 은모두동일한과정으로자동화가능합니다 ANSYS, Inc. November 17, 2017

31 Python script 변수추가하기 Trace 와길이와폭을변수로추가 변수에기반한모델생성 ANSYS, Inc. November 17, 2017

32 Python Script 의수정및재실행 Script 내의변수값을변경하면서다시 Run script 를실행하면변경된값에기반하여재수행 For 문을통해특정범위로변수를 sweep 도가능 If 문을추가하여조건문으로도생성가능 ANSYS, Inc. November 17, 2017

33 Python Script 로자동 export 된데이터 CSV 형태로추출된 capacitance matrix 데이터 별도의 python code 를추가하여결과값으로 Data 처리및분류도가능 예 ) 여러 cap 값중특정 net 과 net 간의 mutual cap 값만지정하여저장 ANSYS, Inc. November 17, 2017

34 다양한변수값에기반한결과파일 export Width = 0.4, Length = 1.3 Width = 0.5, Length = 1 Width = 0.5, Length = 1.5 Width = 0.5, Length = 2 Width = 0.7, Length = 1.8 Width = 1, Length = 1.2 다양한변수화조건에따라생성된 Cap 값데이터 ANSYS, Inc. November 17, 2017

35 ML Tool 로의적용례 Simulation Tool X Input Width = 0.4, Length = 1.3 Width = 0.5, Length = 1 Width = 0.5, Length = 1.5 Width = 0.5, Length = 2 Width = 0.7, Length = 1.8 Width = 1, Length = 1.2 ML (Tensorflow) Y Output 이러한변수별결과 data 를 ML Tool 의 Training data set 로바로활용가능 ANSYS, Inc. November 17, 2017

36 Siwave R18.2 Python Script Siwave의경우는 R18.2 부터 Python Script가 Help와함께지원됩니다. Siwave는 PCB CAD 환경해석특성상 HFSS/Designer/Q3D/Maxwell 등과달리 script recording 방식이아니며, help를참조로 user가 code를직접제작해야해서약간더까다롭습니다 ANSYS, Inc. November 17, 2017

37 자동화 Visual 환경구축 Via 자동생성 wizard VB6 / Visual studio 환경을활용하면, script code에기반한입력용 GUI 제작이가능합니다. 입력창을 UI로구성하고, 실행은 ANSYS script code로구성하면자동으로 tool을실행하고 Drawing과설정, 해석및결과 plot/export까지예쁘게자동화할수있습니다 ANSYS, Inc. November 17, 2017

38 자동화 tool GUI 사례 Coupled line 자동설계 / 분석 tool (HFSS/Designer 용 ) 전송선로자동분석 tool (HFSS 용 ) DDR 자동화분석 tool (Siwave/Designer 용 ) Touch Panel 자동설계 / 분석 tool (Q3D 용 ) Touch Panel Linearity 분석 tool (Q3D 용 ) TDR 자동해석기 (Siwave 용 ) ANSYS, Inc. November 17, 2017

39 Simulation 보조용 tool GUI 사례 Siwave HFSS RLC 소자변환기 GDS import 후 net name 생성기 3D Bonding wire 자동생성기 Solderball 생성기 ANSYS, Inc. November 17, 2017

40 Python Visual Studio Download 에서무료다운로드가능 ANSYS, Inc. November 17, 2017

41 Visual Studio Install Install 과정에서 Python 개발을선택하고설치합니다 ANSYS, Inc. November 17, 2017

42 Visual Studio ANSYS Python Script 환경구성 ANSYS EM tool 이설치된폴더안에들어있는 Python script 관련파일들을등록하여새로운환경을추가합니다 ANSYS, Inc. November 17, 2017

43 Visual Studio Python project 만들기 ANSYS, Inc. November 17, 2017

44 ANSYS Python coding in Visual Studio 환경을구축하고나면 Visual Studio 안에서 ANSYS tool을 control하는 python code를제작하고관리할수있으며, GUI coding도가능합니다. Window GUI 환경을구축하기에는 VB6 환경이초보자에게는좀더편리합니다. Visual Studio에서 TensorFlow와같은 ML tool환경도함께 coding 가능합니다 ANSYS, Inc. November 17, 2017

45 Conclusion VBS 또는 Python script를잘활용하면, simulation tool의 Drawing, 설정, 해석및결과 plot과같은모든동작을 code로구현하여동작시킬수있습니다. ANSYS가오래전부터사용해오던 VBS는 Excel/Word와호환성이좋고 GUI 환경구축이편리하지만, 현재의대세는 Python 이라고할수있습니다. 여기에 for문과 if문의조합으로사용자가원하는 logic을구현하면특정변수를자동으로 sweep하면서결과까지생성해내는자동화해석이가능합니다. 추가적으로 GUI coding을수행하면폼나게 Window Program처럼만들어사용할수도있습니다. TensorFlow와같은 python 기반의 Machine Learning tool과연계하여, 외부에서 ANSYS tool을 control하여해석및 data 추출이가능합니다 ANSYS, Inc. November 17, 2017

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다. Eclipse 개발환경에서 WindowBuilder 를이용한 Java 프로그램개발 이예는 Java 프로그램의기초를이해하고있는사람을대상으로 Embedded Microcomputer 를이용한제어시스템을 PC 에서 Serial 통신으로제어 (Graphical User Interface (GUI) 환경에서 ) 하는프로그램개발예를설명한다. WindowBuilder:

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

SW_faq2000번역.PDF

SW_faq2000번역.PDF FREUENTLY ASKED UESTIONS ON SPEED2000 Table of Contents EDA signal integrity tool (vias) (via) /, SI, / SPEED2000 SPEED2000 EDA signal integrity tool, ( (via),, / ), EDA, 1,, / 2 FEM, PEEC, MOM, FDTD EM

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

#......-....-E-....b61.)

#......-....-E-....b61.) 1 2 3 4 F3 5 F1 F6 F1 F2 F3 F4 TOOLS F5 F6 DESIGN F1 F1 F6 F3 F6 F1 F2 F3 F4 F4 F1 F1 F2 F1 F1 F2 F3 F1 FDD F2 USB F1 FDD F2 USB F1 SWF F2 T-CODE F2 T-CODE F2 F1 F3 F2 F1 F2 F1 F1 F1

More information

<C0E5B7C1BBF328BEEEB8B0C0CCB5E9C0C729202D20C3D6C1BE2E687770>

<C0E5B7C1BBF328BEEEB8B0C0CCB5E9C0C729202D20C3D6C1BE2E687770> 본 작품들의 열람기록은 로그파일로 남게 됩니다. 단순 열람 목적 외에 작가와 마포구의 허락 없이 이용하거나 무단 전재, 복제, 배포 시 저작권법의 규정에 의하여 처벌받게 됩니다. 마포 문화관광 스토리텔링 공모전 구 분 내 용 제목 수상내역 작가 공모분야 장르 어린이들의 가장 즐거웠던 나들이 장소들 마포 문화관광 스토리텔링 공모전 장려상 변정애 창작이야기 기타

More information

1

1 04단원 컴퓨터 소프트웨어 1. 프로그래밍 언어 2. 시스템 소프트웨어 1/10 1. 프로그래밍 언어 1) 프로그래밍 언어 구분 각종 프로그래밍 언어에 대해 알아보는 시간을 갖도록 하겠습니다. 우리가 흔히 접하는 소프트웨어 들은 프로그래밍 언어로 만들어지는데, 프로그래밍 언어는 크게 2가지로 나눌 수 있습니다. 1 저급어 : 0과 1로 구성되어 있어, 컴퓨터가

More information

chapter4

chapter4 Basic Netw rk 1. ก ก ก 2. 3. ก ก 4. ก 2 1. 2. 3. 4. ก 5. ก 6. ก ก 7. ก 3 ก ก ก ก (Mainframe) ก ก ก ก (Terminal) ก ก ก ก ก ก ก ก 4 ก (Dumb Terminal) ก ก ก ก Mainframe ก CPU ก ก ก ก 5 ก ก ก ก ก ก ก ก ก ก

More information

1 Nov-03 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology

1   Nov-03 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology 1 CST MICROWAVE STUDIO Microstrip Parameter sweeping Tutorial Computer Simulation Technology wwwcstcom wwwcst-koreacokr 2 1 Create a new project 2 Model the structure 3 Define the Port 4 Define the Frequency

More information

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph 인터그래프코리아(주)뉴스레터 통권 제76회 비매품 News Letters Information Systems for the plant Lifecycle Proccess Power & Marine Intergraph 2008 Contents Intergraph 2008 SmartPlant Materials Customer Status 인터그래프(주) 파트너사

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 28(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Mar.; 28(3), 163 169. http://dx.doi.org/10.5515/kjkiees.2017.28.3.163 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) PCB

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

DocsPin_Korean.pages

DocsPin_Korean.pages Unity Localize Script Service, Page 1 Unity Localize Script Service Introduction Application Game. Unity. Google Drive Unity.. Application Game. -? ( ) -? -?.. 준비사항 Google Drive. Google Drive.,.. - Google

More information

Autodesk Support

Autodesk Support AUTODESK MOLDFLOW 2016 What s new! Installation and User Interface 이원기대리 오토데스크코리아기술지원팀 2014 Autodesk 2014 Autodesk Distribution & Installation Name Change 2015 Name New 2016 Name Autodesk Simulation DFM

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1

마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 마이크로시스템제작 lecture1. 강의소개및 MultiSIM 선덕한 마이크로시스템 1 1. 강의소개 1.1 목표 Ø 강의소개 Ø MultiSIM 소개및기본 Tool 사용방법 1.2 강의평가방법 Ø 출석 20% Ø 과제물 50% (Term Project) Ø 기말고사 20% Ø 수업참여도 10% 마이크로시스템 2 1.3 연락처 E-Mail : sundukhan@hanmail.net

More information

제목을 입력하세요.

제목을 입력하세요. 1. 4 1.1. SQLGate for Oracle? 4 1.2. 4 1.3. 5 1.4. 7 2. SQLGate for Oracle 9 2.1. 9 2.2. 10 2.3. 10 2.4. 13 3. SQLGate for Oracle 15 3.1. Connection 15 Connect 15 Multi Connect 17 Disconnect 18 3.2. Query

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

USER GUIDE

USER GUIDE Solution Package Volume II DATABASE MIGRATION 2010. 1. 9. U.Tu System 1 U.Tu System SeeMAGMA SYSTEM 차 례 1. INPUT & OUTPUT DATABASE LAYOUT...2 2. IPO 중 VB DATA DEFINE 자동작성...4 3. DATABASE UNLOAD...6 4.

More information

untitled

untitled R&S Power Viewer Plus For NRP Sensor 1.... 3 2....5 3....6 4. R&S NRP...7 -.7 - PC..7 - R&S NRP-Z4...8 - R&S NRP-Z3... 8 5. Rohde & Schwarz 10 6. R&S Power Viewer Plus.. 11 6.1...12 6.2....13 - File Menu...

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 Eclipse (IDE) JDK Android SDK with ADT IDE: Integrated Development Environment JDK: Java Development Kit (Java SDK) ADT: Android Development Tools 2 JDK 설치 Eclipse

More information

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 개발환경구조및설치순서 JDK 설치 Eclipse 설치 안드로이드 SDK 설치 ADT(Androd Development Tools) 설치 AVD(Android Virtual Device) 생성 Hello Android! 2 Eclipse (IDE) JDK Android SDK with

More information

Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT

Microsoft PowerPoint - 전자공학 실험 3강 - PSpice.PPT 기초전자실험 PSpice 2005. 9. 30. Pspice 기초 - 설치 - 사용법 -LPF 설계 Pspice 란? SPICE(Simulation Program with Integrated Circuit Emphasis) 전자회로컴퓨터시뮬레이션툴임. 실제로전기, 전자, 디지털회로를제작하기전에, 컴퓨터를이용하여계산하고, 측정, 평가하여해석및설계를하는툴 Pspice

More information

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O Orange for ORACLE V4.0 Installation Guide ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE...1 1....2 1.1...2 1.2...2 1.2.1...2 1.2.2 (Online Upgrade)...11 1.3 ORANGE CONFIGURATION ADMIN...12 1.3.1 Orange Configuration

More information

Week3

Week3 2015 Week 03 / _ Assignment 1 Flow Assignment 1 Hello Processing 1. Hello,,,, 2. Shape rect() ellipse() 3. Color stroke() fill() color selector background() 4 Hello Processing 4. Interaction setup() draw()

More information

Dialog Box 실행파일을 Web에 포함시키는 방법

Dialog Box 실행파일을 Web에 포함시키는 방법 DialogBox Web 1 Dialog Box Web 1 MFC ActiveX ControlWizard workspace 2 insert, ID 3 class 4 CDialogCtrl Class 5 classwizard OnCreate Create 6 ActiveX OCX 7 html 1 MFC ActiveX ControlWizard workspace New

More information

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf("hihi\n"); } warning: conflicting types for functiona

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf(hihi\n); } warning: conflicting types for functiona 이름 : 학번 : A. True or False: 각각항목마다 True 인지 False 인지적으세요. 1. (Python:) randint 함수를사용하려면, random 모듈을 import 해야한다. 2. (Python:) '' (single quote) 는한글자를표현할때, (double quote) 는문자열을표현할때사용한다. B. 다음에러를수정하는방법을적으세요.

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

CODESYS 런타임 설치과정

CODESYS 런타임 설치과정 CODESYS 런타임설치과정 CODESYS Control RTE / SoftMotion RTE Setup Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 목 차 CODESYS 런타임 - Control RTE, SoftMotion RTE... 2 다운로드및설치과정... 2 CODESYS EtherCAT

More information

B _02_M_Ko.indd

B _02_M_Ko.indd DNX SERIES DNX560 DNX560M DDX SERIES DDX506 DDX506M B64-467-00/0 (MW) DNX560/DNX560M/DDX506/DDX506M 4 DNX560/DNX560M/DDX506/DDX506M NAV TEL AV OUT % % % % CD () : Folder : Audio fi 5 6 DNX560/DNX560M/DDX506/DDX506M

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

컴퓨터과학과 교육목표 컴퓨터과학과의 컴퓨터과학 프로그램은 해당분야 에서 학문적 기술을 창의적으로 연구하고 산업적 기술을 주도적으로 개발하는 우수한 인력을 양성 함과 동시에 직업적 도덕적 책임의식을 갖는 IT인 육성을 교육목표로 한다. 1. 전공 기본 지식을 체계적으로

컴퓨터과학과 교육목표 컴퓨터과학과의 컴퓨터과학 프로그램은 해당분야 에서 학문적 기술을 창의적으로 연구하고 산업적 기술을 주도적으로 개발하는 우수한 인력을 양성 함과 동시에 직업적 도덕적 책임의식을 갖는 IT인 육성을 교육목표로 한다. 1. 전공 기본 지식을 체계적으로 2015년 상명대학교 ICT융합대학 컴퓨터과학과 졸업 프로젝트 전시회 2015 Computer Science Graduate Exhibition 2015 Computer Science Graduate Exhibition 1 컴퓨터과학과 교육목표 컴퓨터과학과의 컴퓨터과학 프로그램은 해당분야 에서 학문적 기술을 창의적으로 연구하고 산업적 기술을 주도적으로 개발하는

More information

PowerPoint Presentation

PowerPoint Presentation Hyperledger Fabric 개발환경구축및예제 Intelligent Networking Lab Outline 2/64 개발환경구축 1. Docker installation 2. Golang installation 3. Node.Js installation(lts) 4. Git besh installation 예제 1. Building My First Network

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer

Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer Domino, Portal & Workplace WPLC FTSS Domino Designer Portal Development tools Rational Application Developer WebSphere Portlet Factory Workplace Designer Workplace Forms Designer ? Lotus Notes Clients

More information

Smart Power Scope Release Informations.pages

Smart Power Scope Release Informations.pages v2.3.7 (2017.09.07) 1. Galaxy S8 2. SS100, SS200 v2.7.6 (2017.09.07) 1. SS100, SS200 v1.0.7 (2017.09.07) [SHM-SS200 Firmware] 1. UART Command v1.3.9 (2017.09.07) [SHM-SS100 Firmware] 1. UART Command SH모바일

More information

SBR-100S User Manual

SBR-100S User Manual ( 1 / 13 ) SBR-100S 모델에 대한 사용자 펌웨어 업그레이드 방법을 안내해 드립니다. SBR-100S 는 신규 펌웨어가 있을시 FOTA(자동업데이트) 기능을 통하여 자동 업그레이드가 되며, 필요시 사용자가 신규 펌웨어를 다운받아 수동으로 업그레이드 할 수 있습니다. 1. 준비하기 1.1 연결 장치 준비 펌웨어 업그레이드를 위해서는 SBR-100S

More information

Microsoft Word - 3부A windows 환경 IVF + visual studio.doc

Microsoft Word - 3부A windows 환경 IVF + visual studio.doc Visual Studio 2005 + Intel Visual Fortran 9.1 install Intel Visual Fortran 9.1 intel Visual Fortran Compiler 9.1 만설치해서 DOS 모드에서실행할수있지만, Visual Studio 2005 의 IDE 를사용하기위해서는 Visual Studio 2005 를먼저설치후 Integration

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

Microsoft Word - Automap3

Microsoft Word - Automap3 사 용 설 명 서 본 설명서는 뮤직메트로에서 제공합니다. 순 서 소개 -------------------------------------------------------------------------------------------------------------------------------------------- 3 제품 등록 --------------------------------------------------------------------------------------------------------------------------------------

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

Microsoft PowerPoint - Install Guide[ ].ppt [호환 모드]

Microsoft PowerPoint - Install Guide[ ].ppt [호환 모드] www.viewrun.co.kr User Guide (The Imaging Source devices) 2008. 09 Contents 1 2 3 4 Driver 설치 IC Capture(Image Viewer) 설치 IC Imaging Control(SDK) 설치 Visual Studio 환경설정 (6.0, 2005) 5 Troubleshooting 6 7

More information

Microsoft PowerPoint - e pptx

Microsoft PowerPoint - e pptx Import/Export Data Using VBA Objectives Referencing Excel Cells in VBA Importing Data from Excel to VBA Using VBA to Modify Contents of Cells 새서브프로시저작성하기 프로시저실행하고결과확인하기 VBA 코드이해하기 Referencing Excel Cells

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt 변수와상수 1 변수란무엇인가? 변수 : 정보 (data) 를저장하는컴퓨터내의특정위치 ( 임시저장공간 ) 메모리, register 메모리주소 101 번지 102 번지 변수의크기에따라 주로 byte 단위 메모리 2 기본적인변수형및변수의크기 변수의크기 해당컴퓨터에서는항상일정 컴퓨터마다다를수있음 short

More information

(Transer Function) X(w) Y(w) H(w) Y(w) X(w) H ( w) φ H(w) H(w) X(w) Y(w). Vo ( w) H v ( w) V ( w) I o( w) H i ( w) I ( w) V ( w) H z ( w) I ( w) I ( w

(Transer Function) X(w) Y(w) H(w) Y(w) X(w) H ( w) φ H(w) H(w) X(w) Y(w). Vo ( w) H v ( w) V ( w) I o( w) H i ( w) I ( w) V ( w) H z ( w) I ( w) I ( w 4 Bode plot( ) Pspice The McGraw-Hill Copanies, Inc.,? A(j) db A db. A 3 db,, ΘG(), L 9 o 8. o L H H (rad/s) (rad/s) : 3 3 : 35~ The McGraw-Hill Copanies, Inc., (Transer Function) X(w) Y(w) H(w) Y(w) X(w)

More information

ETL_project_best_practice1.ppt

ETL_project_best_practice1.ppt ETL ETL Data,., Data Warehouse DataData Warehouse ETL tool/system: ETL, ETL Process Data Warehouse Platform Database, Access Method Data Source Data Operational Data Near Real-Time Data Modeling Refresh/Replication

More information

초보자를 위한 C# 21일 완성

초보자를 위한 C# 21일 완성 C# 21., 21 C#., 2 ~ 3 21. 2 ~ 3 21.,. 1~ 2 (, ), C#.,,.,., 21..,.,,, 3. A..,,.,.. Q&A.. 24 C#,.NET.,.,.,. Visual C# Visual Studio.NET,..,. CD., www. TeachYour sel f CSharp. com., ( )., C#.. C# 1, 1. WEEK

More information

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx Basic Idea of External Sorting run 1 run 2 run 3 run 4 run 5 run 6 750 records 750 records 750 records 750 records 750 records 750 records run 1 run 2 run 3 1500 records 1500 records 1500 records run 1

More information

생산부 2년이상 ~ 10년 미만 학력 무관 경기도 안성/천안 인센티브제, 장기근속 포 상(해외여행), 기숙사제 공, 사내식당(조,중,석식) 휴가비, 경조사비 <우대사항> - 압출기계 관련 경력자 우대 <직무내용> - 플라스틱 Compound 압출생산 <우대사항> - 압

생산부 2년이상 ~ 10년 미만 학력 무관 경기도 안성/천안 인센티브제, 장기근속 포 상(해외여행), 기숙사제 공, 사내식당(조,중,석식) 휴가비, 경조사비 <우대사항> - 압출기계 관련 경력자 우대 <직무내용> - 플라스틱 Compound 압출생산 <우대사항> - 압 고졸 모집공고수 : 48개사, 89개(4/23) 회사명 직무 모집직종 경력구분 학력 (주)디에이피 인쇄회로기판(PCB) 제조 직 신입,경력(2~7년) 고등학교 졸업 주,야 교대근무 가능자, 인근거주자 경기 안산 성과상여 분기별지급 (매 출목표 달성시) 화학관련 전공 및 업무 4대보험 적용 경험자 우대, 인근거주자 명절선물 우대 퇴직금(퇴직연금),

More information

슬라이드 1

슬라이드 1 - 1 - 전자정부모바일표준프레임워크실습 LAB 개발환경 실습목차 LAB 1-1 모바일프로젝트생성실습 LAB 1-2 모바일사이트템플릿프로젝트생성실습 LAB 1-3 모바일공통컴포넌트생성및조립도구실습 - 2 - LAB 1-1 모바일프로젝트생성실습 (1/2) Step 1-1-01. 구현도구에서 egovframe>start>new Mobile Project 메뉴를선택한다.

More information

을 할 때, 결국 여러 가지 단어를 넣어서 모두 찾아야 한다는 것이다. 그 러나 가능한 모든 용어 표현을 상상하기가 쉽지 않고, 또 모두 찾기도 어 렵다. 용어를 표준화하여 한 가지 표현만 쓰도록 하여야 한다고 하지만, 말은 쉬워도 모든 표준화된 용어를 일일이 외우기는

을 할 때, 결국 여러 가지 단어를 넣어서 모두 찾아야 한다는 것이다. 그 러나 가능한 모든 용어 표현을 상상하기가 쉽지 않고, 또 모두 찾기도 어 렵다. 용어를 표준화하여 한 가지 표현만 쓰도록 하여야 한다고 하지만, 말은 쉬워도 모든 표준화된 용어를 일일이 외우기는 특집 전문 용어와 국어생활 전문 용어의 표준화 -남북 표준에서 시맨틱 웹까지- 최기선 한국과학기술원 전산학과 교수 1. 전문 용어 표준화가 사회 문화를 향상시키는가? 전문 용어 는 우리에게 어떤 의미가 있는가? 이 질문은 매일 마시는 공기 는 우리에게 어떤 의미가 있느냐고 묻는 것과 같다. 있을 때에는 없 는 듯하지만, 없으면 곧 있어야 함을 아는 것이 공기이다.

More information

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20C0FCC0DAC8B8B7CEBDC7C7E8312E BC8A3C8AF20B8F0B5E55D> 전자회로실험 (PSPICE 사용법 ) 대진대학교전자공학과 2010년 2 학기 Lecture #1 2010. 09. 10 목차 PSPICE 사용법 Q&A 공지사항 2 1 PSPICE의전체과정 1. 회로도그리기 (Schematic) (1) 소자가져오기 (Draw) (2) 결선 (Wire) (3) 기준 node의접지 2.Simulation (1) 조건설정 (Simulation/Setup)

More information

팬도캐드소개

팬도캐드소개 제목 : 4 층 50Ω, 55Ω, 90Ω Diff,100Ω Diff (1.46T) PCB 재질 : FR4( Er = 4.4 ) 외층 / 내층 : 1 Oz PCB 두께 : 1.46T ±10% CCL= 1.2T C 1/1 L3 0.08mm 0.08mm 0.09mm 0.09mm 0.26mm 0.26mm 프리프레그 (PrePreg) : 1080 0.06 mm, 2116

More information

강의10

강의10 Computer Programming gdb and awk 12 th Lecture 김현철컴퓨터공학부서울대학교 순서 C Compiler and Linker 보충 Static vs Shared Libraries ( 계속 ) gdb awk Q&A Shared vs Static Libraries ( 계속 ) Advantage of Using Libraries Reduced

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

아트앤플레이군 (2년제) Art & Play Faculty 95 교육목표 95 군 공통(네트워크) 교과과정표 96 드로잉과 페인팅 Drawing & Painting Major Track 97 매체예술 Media Art Major Track 98 비디오 & 사운드 Video & Sound Major Track 99 사진예술 PHOTOGRAPHIC ART Major

More information

임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 4 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 Outline n n n n n n 보드개요보드연결필수패키지, Tool-Chain 설치 Kernel, file system build Fastboot 및 Tera Term설치 Kernel, file system 이미지전송및설치 - 2 - Young-Jin Kim X-Hyper320TKU

More information

목차 BUG 문법에맞지않는질의문수행시, 에러메시지에질의문의일부만보여주는문제를수정합니다... 3 BUG ROUND, TRUNC 함수에서 DATE 포맷 IW 를추가지원합니다... 5 BUG ROLLUP/CUBE 절을포함하는질의는 SUBQUE

목차 BUG 문법에맞지않는질의문수행시, 에러메시지에질의문의일부만보여주는문제를수정합니다... 3 BUG ROUND, TRUNC 함수에서 DATE 포맷 IW 를추가지원합니다... 5 BUG ROLLUP/CUBE 절을포함하는질의는 SUBQUE ALTIBASE HDB 6.3.1.10.1 Patch Notes 목차 BUG-45710 문법에맞지않는질의문수행시, 에러메시지에질의문의일부만보여주는문제를수정합니다... 3 BUG-45730 ROUND, TRUNC 함수에서 DATE 포맷 IW 를추가지원합니다... 5 BUG-45760 ROLLUP/CUBE 절을포함하는질의는 SUBQUERY REMOVAL 변환을수행하지않도록수정합니다....

More information

Interstage5 SOAP서비스 설정 가이드

Interstage5 SOAP서비스 설정 가이드 Interstage 5 Application Server ( Solaris ) SOAP Service Internet Sample Test SOAP Server Application SOAP Client Application CORBA/SOAP Server Gateway CORBA/SOAP Gateway Client INTERSTAGE SOAP Service

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

1. Windows 설치 (Client 설치 ) 원하는위치에다운받은발송클라이언트압축파일을해제합니다. Step 2. /conf/config.xml 파일수정 conf 폴더에서 config.xml 파일을텍스트에디터를이용하여 Open 합니다. config.xml 파일에서, 아

1. Windows 설치 (Client 설치 ) 원하는위치에다운받은발송클라이언트압축파일을해제합니다. Step 2. /conf/config.xml 파일수정 conf 폴더에서 config.xml 파일을텍스트에디터를이용하여 Open 합니다. config.xml 파일에서, 아 LG U+ SMS/MMS 통합클라이언트 LG U+ SMS/MMS Client Simple Install Manual LG U+ SMS/MMS 통합클라이언트 - 1 - 간단설치매뉴얼 1. Windows 설치 (Client 설치 ) 원하는위치에다운받은발송클라이언트압축파일을해제합니다. Step 2. /conf/config.xml 파일수정 conf 폴더에서 config.xml

More information

TRIBON 실무 DRAFT 편 조선전용 CAD에 대한 기초적인 사용 방법 기술 기술지원팀

TRIBON 실무 DRAFT 편 조선전용 CAD에 대한 기초적인 사용 방법 기술 기술지원팀 TRIBON 실무 DRAFT 편 조선전용 CAD에 대한 기초적인 사용 방법 기술 기술지원팀 1. 1-1) TRIBON 1-2) 2D DRAFTING OVERVIEW 1-3) Equipment Pipes Cables Systems Stiffeners Blocks Assemblies Panels Brackets DRAWINGS TRIBON Model Model

More information

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 (   ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각 JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( http://java.sun.com/javase/6/docs/api ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각선의길이를계산하는메소드들을작성하라. 직사각형의가로와세로의길이는주어진다. 대각선의길이는 Math클래스의적절한메소드를이용하여구하라.

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM

HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM HX - Operation Manual MC / TC / CUT / QT HX Series(V2.x) Operation Manual for MC / TC / CUT / QT CSCAM HX - Operation Manual MC / TC / CUT / QT 1. MDI I/O 1.1 MDI unit 1.2 (SOFT KEY) 1.3 (RESET KEY) 1.4

More information

Microsoft Word - [2017SMA][T8]OOPT_Stage_2040 ver2.docx

Microsoft Word - [2017SMA][T8]OOPT_Stage_2040 ver2.docx OOPT Stage 2040 - Design Feesual CPT Tool Project Team T8 Date 2017-05-24 T8 Team Information 201211347 박성근 201211376 임제현 201411270 김태홍 2017 Team 8 1 Table of Contents 1. Activity 2041. Design Real Use

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 28, no. 4, Apr (planar resonator) (radiator) [2] [4].., (cond

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 28, no. 4, Apr (planar resonator) (radiator) [2] [4].., (cond THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2017 Apr.; 28(4), 279 285. http://dx.doi.org/10.5515/kjkiees.2017.28.4.279 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) A Study

More information

C 프로그래밍 언어 입문 C 프로그래밍 언어 입문 김명호저 숭실대학교 출판국 머리말..... C, C++, Java, Fortran, Python, Ruby,.. C. C 1972. 40 C.. C. 1999 C99. C99. C. C. C., kmh ssu.ac.kr.. ,. 2013 12 Contents 1장 프로그래밍 시작 1.1 C 10 1.2 12

More information

슬라이드 1

슬라이드 1 전자정부개발프레임워크 1 일차실습 LAB 개발환경 - 1 - 실습목차 LAB 1-1 프로젝트생성실습 LAB 1-2 Code Generation 실습 LAB 1-3 DBIO 실습 ( 별첨 ) LAB 1-4 공통컴포넌트생성및조립도구실습 LAB 1-5 템플릿프로젝트생성실습 - 2 - LAB 1-1 프로젝트생성실습 (1/2) Step 1-1-01. 구현도구에서 egovframe>start>new

More information

B _00_Ko_p1-p51.indd

B _00_Ko_p1-p51.indd KOS-V000 B64-797-00/00 (MV) KOS-V000 설명서를 보는 방법 이 설명서에서는 삽입된 그림을 통해 작동 방법을 설명합니다. 이 설명서에 나타낸 화면과 패널은 작동 방법을 자세히 설명하는 데 이용되는 예입니다. 따라서 실제 화면이나 패널과 다르거나 일부 디 스플레이 패턴이 다를 수도 있습니다. 찾기 모드 방송국 선택 설정. TUNER

More information

NTD36HD Manual

NTD36HD Manual Upnp 사용 D7 은 UPNP 를지원하여 D7 의네크워크에연결된 UPNP 기기에별다른설정없이연결하여, 유무선으로네트워크상의연결된 UPNP 기기의콘텐츠를재생할수있습니다. TV 화면의 브라우저, UPNP 를선택하면연결가능한 UPNP 기기가표시됩니다. 주의 - UPNP 기능사용시연결된 UPNP 기기의성능에따라서재생되지않는콘텐츠가있을수있습니다. NFS 사용 D7

More information

2002년 2학기 자료구조

2002년 2학기 자료구조 자료구조 (Data Structures) Chapter 1 Basic Concepts Overview : Data (1) Data vs Information (2) Data Linear list( 선형리스트 ) - Sequential list : - Linked list : Nonlinear list( 비선형리스트 ) - Tree : - Graph : (3)

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L HXR-NX3D1용 3D 워크플로 가이드북 2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G Lens, Exmor, InfoLITHIUM, Memory

More information

초보자를 위한 ADO 21일 완성

초보자를 위한 ADO 21일 완성 ADO 21, 21 Sams Teach Yourself ADO 2.5 in 21 Days., 21., 2 1 ADO., ADO.? ADO 21 (VB, VBA, VB ), ADO. 3 (Week). 1, 2, COM+ 3.. HTML,. 3 (week), ADO. 24 1 - ADO OLE DB SQL, UDA(Universal Data Access) ADO.,,

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Function) 1. 함수의개념 입력에대해적절한출력을발생시켜주는것 내가 ( 프로그래머 ) 작성한명령문을연산, 처리, 실행해주는부분 ( 모듈 ) 자체적으로실행되지않으며,

More information

, Next Step of Hangul font As an Example of San Serif Han San Seok Geum ho, Jang Sooyoung. IT.. Noto Sans(Adobe, Han-San). IT...., Muti Script, Multi

, Next Step of Hangul font As an Example of San Serif Han San Seok Geum ho, Jang Sooyoung. IT.. Noto Sans(Adobe, Han-San). IT...., Muti Script, Multi » 11«2014 12 12 2 7,, ;,, 1946,, ;, 2015,» 10: «Korean Society of Typography»Conference 11«12 December 2014, 2 7 pm, Hansung University DLC, Seoul Seok Geum ho; Jang Sooyoung, Next Step of Hangeul Font

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

hw 2006 Tech guide 64p v5

hw 2006 Tech guide 64p v5 TECHNICAL TRAINING GUIDE 2006 2 Process Solutions Building Solutions Contents TECHNICAL TRAINING GUIDE 2006 2006 Technical Training Guide 4 2006 Technical Training Guide 5 2006 Technical Training Guide

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

EndNote X2 초급 분당차병원도서실사서최근영 ( )

EndNote X2 초급 분당차병원도서실사서최근영 ( ) EndNote X2 초급 2008. 9. 25. 사서최근영 (031-780-5040) EndNote Thomson ISI Research Soft의 bibliographic management Software 2008년 9월현재 X2 Version 사용 참고문헌 (Reference), Image, Fulltext File 등 DB 구축 참고문헌 (Reference),

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Microsoft PowerPoint - ch07 - 포인터 pm0415

Microsoft PowerPoint - ch07 - 포인터 pm0415 2015-1 프로그래밍언어 7. 포인터 (Pointer), 동적메모리할당 2015 년 4 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) Outline 포인터 (pointer) 란? 간접참조연산자

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

MVVM 패턴의 이해

MVVM 패턴의 이해 Seo Hero 요약 joshua227.tistory. 2014 년 5 월 13 일 이문서는 WPF 어플리케이션개발에필요한 MVVM 패턴에대한내용을담고있다. 1. Model-View-ViewModel 1.1 기본개념 MVVM 모델은 MVC(Model-View-Contorl) 패턴에서출발했다. MVC 패턴은전체 project 를 model, view 로나누어

More information