1

Size: px
Start display at page:

Download "1"

Transcription

1 Hardware User Manual AnyBus Series BPFR/ BPHR

2 Product Information Full information about other AJINEXTEK products is available by visiting our Web Site at: support@ajinextek.com Useful Contact Information Headquarter Tel : (extension 183) Fax: Kyeongin Branch Tel : ~2 Fax: Cheonan Branch Tel : Fax: AJINEXTEK s sales team is always available to assist you in making your decision the final choice of boards or systems is solely and wholly theresponsibility of the buyer. AJINEXTEK s entire liability in respect of the board or systems is as set out in AJINEXTEK s standard terms and conditions of sale c Copyright 2001 AJINEXTEK co.ltd. All rights reserved. ii

3 Hardware User Manual Rev 구성 Contents 1. 개요 서론 적용 장착가능모듈 용도 특징 사양 설치 하드웨어설치 소프트웨어설치 구성 하드웨어설명 커넥터및 LED I/O 커넥터핀배열 보드의인식 PCI 시스템의보드인식방법 VBIDS(Virtual Board ID Setting) 보드 ID 설정방법 액세서리 ( 옵션품목 ) 케이블 단자대 모듈별케이블단자대구성 주문정보 (AnyBus Family) 21 iii

4

5 Hardware User Manual Rev 개요 Revision History Manual PCB Comments Rev. 1.0 issue 1.0 Rev. 1.0 DEC., 2001 Rev. 1.0 issue 1.0 Rev. 1.1 DEC., 2010 Rev. 2.0 issue 1.0 Rev. 2.0 Rev. 3.0 issue 1.0 Rev. 3.0 JAN.,

6 1. 개요 Hardware User Manual Rev 개요 1.1. 서론 AnyBus Series 제품군은 ISA Bus, PCI Bus 를지원하는모듈캐리어보드이다. AnyBus 모델중 BPFR, BPHR 보드는기본형캐리어보드로서각각 PCI full size, PCI half size 규격을지원하는제품이다. 기본형캐리어보드는모션모듈 (AnyMotion series), 디지털 I/O 모듈 (AnyDIO series), 아날로그 I/O 모듈 (AnyAIO series) 등으로구성된 Add-On 방식의 AnyPack 모듈보드와결합하여어떠한제어환경에서도다양한기능보드를조합할수있다. AnyBus 보드에 AnyPack 모듈을어떻게조합하는가에따라모션구동전용보드, IO 제어전용보드가구성될수있으며두개이상의모델을조합한보드도구성할수있다 적용 장착가능모듈기본형베이스보드에장착되는모듈을 AnyPack 모듈이라고통칭하며, AnyPack 시리즈는각모듈의기능에따라모터구동 ( 모션제어 ) 모듈인 AnyMotion 시리즈, 디지털입출력모듈인 AnyDIO 시리즈, 아날로그입출력모듈인 AnyAIO 시리즈로나뉜다. AnyMotion 모듈은사용된모션전용칩셋에따라모델명이정해지고, 모션축수에따라 1축, 2축, 4 축등의모듈로구분된다. AnyDIO 모듈은입력전용모듈, 출력전용모듈, 입출력혼합모듈이있으며, 각모듈당최대 32점의입출력접점을제공한다. AnyAIO 모듈은다양한사양의채널을제공하는입력전용, 출력전용보드가있다. AnyPack 모듈중장착가능한모듈들을시리즈별로아래에나타내었다. AnyMotion series ( 모션모듈 ) SMC-1V01, SMC- 1V02 SMC-2V01, SMC- 2V02 : CAMC-5M/CAMC-FS(AjinExtek) 가장착된 1축모션제어용모듈 : CAMC-5M/CAMC-FS(AjinExtek) 가장착된 2축모션제어용모듈 2

7 Hardware User Manual Rev 개요 AnyDIO AnyAIO series ( 입출력모듈 ) SIO-DI32 : 32 점디지털입력모듈 SIO-DO32P,SIO- : 32 점디지털출력모듈 DO32T SIO-DB32P,SIO- : 입력 16 점 / 출력 16 점디지털입출력모듈 DB32T SIO-AI4RB : 4 채널아날로그입력모듈 SIO-AO4RB : 4 채널아날로그출력모듈 용도 AnyBus 시리즈베이스보드에 AnyPack 모듈제품을조합하여 PC 기반의간단한기계부제어에서부터 FA, 반도체, LED, LCD 등의전 후공정, 가공기, WTR, LTR 에이르기까지넓은범위에서사용할수있다. 3

8 2. 특징 Hardware User Manual Rev 특징 2.1. 사양 그림 1. BPFR 표 1. BPFR 보드사양 항목 BPFR Form Factor PCI full size (321 x 118mm) Conformance PCI Local Bus Spec. Rev. 2.1(32bit/33 MHz ) Data Bus Width Interrupt 32bit data 모드 자동설정 Local bus Local bus slot x 4 Front panel I/O 2Port 68pin Champ connector x 2 Power requirements 5V, ±12V Status LEDs 소비전류 전원부 5 개와 SUB1, 2, 3, 4 상태확인 Max 3A at DC 5V 동작온도범위 0 ~ 60 C 기타 외부커넥터핀당최대입출력전류 150 ma BPFR 기본형베이스보드는 PCI Local Bus Rev. 2.1 을지원하는보드이며, 전체 4 개의 SUB 슬롯을 가지고있다. 각슬롯에는 AnyPack 모듈을장착할수있다. 4

9 Hardware User Manual Rev 특징 그림 2. BPHR 표 2. BPHR 보드사양 항목 Form Factor BPHR PCI half size ( x 113.5mm) Conformance PCI Local Bus Spec. Rev. 2.1(32bit/33 MHz ) Data Bus Width Interrupt 32 비트 data 모드 자동설정 Local bus Local bus Slot x 2 Front panel I/O 2Port 68pin Champ connector Power requirements 5V, ±12V Status LEDs 소비전류 전원부 4 개와 SUB1, 2 상태확인 Max 1.5A at DC 5V 동작온도범위 0 ~ 60 C 기타 외부커넥터핀당최대입출력전류 150 ma BPHR 기본형베이스보드는 PCI Local Bus Rev. 2.1 을지원한다. 2 개의 SUB 슬롯을가지고있다. 각슬롯에는 AnyPack 모듈을장착할수있다. 5

10 3. 설치 Hardware User Manual Rev 설치 3.1. 하드웨어설치 제품의구성품을확인한후다음의순서에따라보드를설치한다. 제품의구성품은기본형베이스 보드 BPFR 또는 BPHR 한장과별도의 AnyPack 모듈과그모듈의용도에따라구성되는옵션케 이블및단자대로구성되어있다. 1 보드를만지기전에신체에축적된정전기를방전시키기위해 PC 케이스의금속부분을손으로접촉한다. 2 PC 의전원스위치를끄고전원플러그를뽑는다. 3 삽입할 PCI 슬롯을선택한다. 3 선택한슬롯을사용하기위해슬롯의브래킷을제거한다. 4 선택한 PCI 슬롯에보드를삽입한다. 보드고정용나사를이용해보드를슬롯에고정시킨다. 6 육안으로제대로설치되었는지확인한다. 그림3을참조하여 PCI 슬롯에삽입되는모든부분이밀착되어장착되었는지를확인한다. 7 PC 의전원플러그를꼽고전원스위치를켜서시스템을동작시킨다. 8 보드의오른쪽윗부분에있는전원 LED 5개가모두정상적으로켜져있는지확인한다. 또한슬롯에모듈을장착했을때, 슬롯에해당하는 SUB 상태 LED 가켜져있는지확인한다. 9 부팅이정상적으로이루어졌는지확인한다. PC PCI bus slot BPFR / BPHR 그림 3. PCI 슬롯장착및확인 6

11 Hardware User Manual Rev 설치 3.2. 소프트웨어설치 보드가정상적으로장착되었다면다음의절차에따라소프트웨어를설치한다. 제품과같이제공된 CD 또는홈페이지에서다운로드한 ExSoftware 프로그램을준비한다. 최신소프트웨어는언제든지홈페이지에서다운로드가능합니다. ( 참고 : 홈페이지 : Support Download 참조 ) 1 준비한 EzSoftware 설치프로그램에서 setup.exe 를실행하여설치한다. 2 설치가완료되면다음의순서대로디바이스드라이버를설치한다. 3 보드가인식되면 새하드웨어검색마법사 창이팝업된다. 팝업되지않을경우장치관리자를실행하여 시스템 DMA 컨트롤러 선택하여오른쪽마우스를클릭 드라이버업데이트 를선택한다. 4 장치관리자에서그림4와같이장착된보드를확인한다. 그림 4. 장치관리자초기인식모습 5 BPFR 또는 BPHR 은 기타장치 / 시스템 DMA 컨트롤러 인식된다. 7

12 3. 설치 Hardware User Manual Rev. 3.0 그림 5. 목록또는특정위치에서설치 선택 6 목록또는특정위치에서설치 항목을선택후 다음 버튼을클릭한다. 그림 6. 드라이버설정방법선택 7 이위치에서가장적합한드라이버검색 선택, 검사할때다음위치포함 선택후찾아보기 버 튼을클릭한다. 8

13 Hardware User Manual Rev 설치 그림 7. 드라이버폴더경로선택 7 찾아보기 버튼을클릭하여드라이버가들어있는폴더를지정한다. 일반적으로드라이버폴더는 C:\Program Files\EzSoftwareAXT\INF(Driver) 이다. 경로지정후 확인 버튼을클릭한다.! EzSoftware Installer 실행시설치경로를변경하지않을경우드라이버경로는 C:\Program Files\EzSoftwareAXT\INF(Driver) 이지만설치경로를변경하였을경우에 는설치드라이브및경로를확인하여지정해야한다. 9

14 3. 설치 Hardware User Manual Rev. 3.0 그림 8. 드라이버파일설치진행 8 드라이버파일이선택되어설치가진행된다. 그림 9. 드라이버파일설치완료 9 새하드웨어검색마법사완료 메시지가나타나면드라이버파일설치가완료된다. 10

15 Hardware User Manual Rev 설치 그림 10. 장치관리자 에추가완료 10 정상적으로드라이버가설치되면그림 10 과같이이나타난다. 그림 11. 정상적인하드웨어및소프트웨어설치완료화면 11

16 3. 설치 Hardware User Manual Rev 장치관리자에서드라이버설치가완료되었다면, 그림 11 과같이 EzConfig 프로그램을실행하여 제품의현재상태를확인할수있다.! EzSoftware 패키지종류에따라드라이버파일이름또는 EzConfig 실행화면에 차이가있음을유의하십시오. 12

17 Hardware User Manual Rev 구성 4. 구성 4.1. 하드웨어설명 커넥터및 LED SUB Status LEDs SUB4 SUB3 SUB2 SUB1 Power LEDs +3V +12V +5V N12V PCI BUS 그림 12. BPFR 보드와커넥터 SUB2 SUB1 SUB Status LEDs Power LEDs +3V +12V N12V +5V PCI BUS 그림 13. BPHR 보드와커넥터 그림 12 와그림 13 에 BPFR, BPHR 의외부커넥터, SUB 모듈커넥터, Indication LED 등을나타내었다. 각부분은 SUB 모듈을장착하기위한 SUB1, SUB2, SUB3, SUB4 슬롯 (BPHR 은 SUB1 과 SUB2 만있 13

18 4. 구성 Hardware User Manual Rev. 3.0 음 ), 보드의전원상태를확인하기위한전원 LED (Power LEDs), SUB 모듈을케이블을통해외부장 치또는단자대와연결하기위한커넥터 (J1, J2, J3, J4), 각 SUB 슬롯의모듈장착상태를확인하기 위한 SUB 상태 LED(SUB Status LEDs 로구성되어있다. 외부장치연결용커넥터 J1, J2, J3, J4는 68핀 CHAMP 커넥터로 SUB 에장착되는모듈의종류에따라내용이달라진다. 어떤모듈을장착하느냐에따라각핀의용도가달라진다. 그림 3과그림 4는 SUB 슬롯에모듈이장착된모습을보여주고있는데, BPFR 및 BPHR 보드를부품면을위로하고보았을때, 왼쪽위에모듈보드의사선으로잘린부분이오도록장착한다. 이부분은보드에실크로표시되어있으므로, 모듈을실크에표시된모양대로장착해야한다. SUB 슬롯에는 AnyDIO, AnyAIO, AnyMotion 모듈을장착할수있다. SUB 상태 LED 는 SUB 슬롯에모듈이장착되었을때해당하는 LED 가켜진다. 전원 LED 는보드의전원공급상태를확인하기위한것으로, 위에서부터차례로, SUB1, SUB2의 3V 공급전원, SUB3, SUB4의 3V 공급전원 (BPHR 에는없음 ), -12V 공급전원, +12V 공급전원, 5V 공급전원의상태를각각표시한다. 3V2 3V1-12V +12V 5V BPFR 3V1-12V +12V 5V BPHR BPFR 보드의실크에 3V2로표시된 LED 는 SUB1, SUB2에 3V1 은 SUB3와 SUB4에공급되는 3.3V 전원의상태를나타낸다. - 12V 와 +12V 로표시된 LED 는각각 12V, +12V 의 SUB1, SUB2, SUB3, SUB4의공급상태, 5V 는주전원인 5V 의공급상태를표시한다. BPHR 보드는 3V2가없고, 3V, -12V, +12V, 5V 의전원공급상태만을표시한다. SUB3 SUB4 SUB1 SUB2 SUB1 SUB2 BPFR 보드와 BPHR 보드의왼쪽상단에표시된 LED 들은 SUB 모듈소켓의 AnyPack 모듈장착여부를표시해주며, 각각 SUB1 LED 는 SUB1 의장착상태를 SUB2 는 SUB2 의장착상태 를, SUB3 는 SUB3 의장착상태를, SUB4 는 SUB4 의모듈장착상 BPFR BPHR 태를나타낸다. 14

19 Hardware User Manual Rev 구성 4.2. I/O 커넥터핀배열 외부연결커넥터 J1, J2, J3, J4 CHAMP Connector 는 SUB 에장착되는모듈의종류에따라다른의미 를가지게되며, J1 은 SUB1 에, J2, J3, J4 는각각 SUB2, SUB3, SUB4 와서로연결되어케이블을통해 단자대또는직접외부장치와연결된다. J3 J1 J4 J2 그림 14. BPFR 보드와외부연결커넥터관계 4.3. 보드의인식 PCI 시스템의보드인식방법 PCI Bus 는시스템에서자동할당되므로 1개이상의기능보드를장착하였을때보드의인식우선순위를확인하기가어렵다. 시스템에서할당하는보드자동인식방법은 PC 의메인보드또는 IPC 의 Backplane 에내장된칩셋의종류에따라다른방식으로인식된다. 다르게인식되는 3가지방식은다음과같다. 1 CPU 에서가장가까이에위치한 PCI 슬롯을기준으로순차적인식. 2 CPU 에서가장멀리위치한 PCI 슬롯을기준으로순차적인식. 3 특정 PCI 슬롯을기준으로하여좌측또는우측에위치한슬롯먼저인식후반대편에위치한슬롯을순차적으로인식. 사용자가 PCI 보드가인식되는순서를확인하기위해서는케이블을장착하여간단한 I/O 테스트를 거쳐야만이알수있다. 이와같은문제를해결하기위해 BPFR 과 BPHR 보드 (ver3.0 이상 ) 에는 VBIDS(Virtual Board ID Setting) 기능이추가되어있다. 15

20 4. 구성 Hardware User Manual Rev. 3.0 그림 15. BPHR 보드의 VBIDS 스위치 VBIDS(Virtual Board ID Setting) PCI 시스템의자동인식방법에대한사용자불편을해소하기위하여 VBIDS 기능을사용하고있다. VBIDS 는각보드에 ID 를부여하여스위치에의해설정된 ID 순으로보드가인식되도록하는방법이다. 그림 16. DIP 스위치 ( 왼쪽 ) 와로터리스위치 ( 오른쪽 ) 보드 ID 설정방법보드 ID 는 1 ~ F(16진수 ) 으로 15개까지설정가능하다. ID 의설정은그림 16의오른쪽에있는로터리스위치를이용하여설정한다. 왼쪽에있는붉은색상의 DIP 스위치는어떠한기능도하지않도록되어있다. 16

21 Hardware User Manual Rev 구성 VBIDS Disable : 로터리스위치 0 으로설정. 로터리스위치를 0 으로설정하면 VBIDS 기능은 Disable 되며보드의인식순서는시스템의메인보 드또는 Backplane 의칩셋에의해결정된다. VBIDS Enable : 로터리스위치 1 ~ F 범위내설정. 로터리스위치를 0 이아닌 1 ~ F 범위내의값으로설정하면숫자의값이적은순으로순차적인식 된다. 보드 3개장착예예 ) 1번보드 ID : 0. 2번보드 ID : 3. 3번보드 ID : F. 보드의인식순서는 2 번, 3 번, 1 번순으로인식된다. 현재 BPFR, BPHR 의보드에는보드 ID 설정을위한스위치가장착되어있지만폐사의제품중스위치가장착되어있지않아 VBIDS 기능을하지않는제품도있다. 스위치가없는제품은 PCI 시스템의칩셋에의해순서가정해지며 BPFR 또는 BPHR 과혼합하여사용할경우우선순위는항상 VBIDS 기능이있는즉, 로터리스위치가장착되어 Enable 설정 ( 로터리스위치 1 ~ F 범위내의값 ) 되어있는제품이우선적으로인식된다. 로터리스위치가장착되어있더라도기능설정이 Disable( 로터리스위치 0으로설정 ) 로되어있다면 PCI 시스템의칩셋에의해자동인식된다. 17

22 5. 액세사리 Hardware User Manual Rev 액세서리 ( 옵션품목 ) 5.1. 케이블 그림 17. C6836-xTS( 왼쪽 ) 과 C6868-xTS( 오른쪽 ) AnyPack 에추가하여별도로제공되는케이블은두종류로 C6868-xTS 과 C6836-xTS 가있다. 이케이블들은 AnyBus 베이스보드의외부연결커넥터 (J1, J2, J3, J4) 와단자대를 1대1로연결시켜준다. 68핀이 1번과 35번, 2번과 36번,, 34번과 68번이 Twist Pair 를구성하고있고, 각핀에최대 150mA 의전류가흐를수있다. 각케이블의길이는 1 ~ 15m 규격이있으며, 사용자요구에따라 1m 단위로길이변경주문이가능하다. 18

23 Hardware User Manual Rev 주문정보 5.2. 단자대 APC-EI36 디지털입력전용단자대 T68-PR 일대일단자대 APC-EO36 디지털출력전용단자대 T36-PR 일대일단자대 APC-EB36 디지털입출력전용단자대 그림 18. 단자대종류 일대일단자대 ( 왼쪽 ) 과 DIO 전용단자대 ( 오른쪽 ) 단자대는 AnyPack 모듈에별도로제공되며각모듈의용도에따라달라진다. 모터구동 AnyMotion 모듈은 T68-PR 또는 T36-PR (1대 1) 단자대를, AnyDIO 모듈에서는출력단에전류증폭회로 ( 핀당 500mA) 가들어가있는단자대를사용하거나일대일로연결된범용단자대를사용할수있다. T68-PR 단자대는 C6868-xTS 케이블을사용할경우 68핀 CHAMP 커넥터의핀과 1대1로연결되며, 핀번호는 68핀 CHAMP 커넥터의핀번호와동일하다. T68-PR 및 T36-PR 등의단자대는 35mm 폭 19

24 5. 액세사리 Hardware User Manual Rev. 3.0 의슬라이드형거치대에장착가능하도록설계되었고, 장치와연결되는핀들은나사로고정시킬수 있다 모듈별케이블단자대구성 각 AnyPack 모듈과외부장치를연결하기위해사용되는케이블과단자대는아래표 14 의내용처 럼구성할수있다. 표 3. 모듈별단자대와케이블 모듈명단자대케이블 SMC- 1V01 SMC- 1V02 SMC- 2V01 SMC- 2V02 SIO-DI32 SIO- DO32P SIO- DB32P SIO- AI4RB SIO- AO4RB T36-PR T36-MCR T36-MMR T68-PR T68-MCR T68-MMR APC-EI36 APC-EO36 APC-EB36 T36-PR C6836-xTS C6868-xTS C6836-xTS T68-PR 단자대는어떠한 AnyPack Series 에도적용될수있다. 그러나신호선이적은 (36핀이하 ) 1축제어모듈 SMC-1V01, SMC-1V02같은경우와디지털입출력모듈 AnyDIO, 아날로그입출력모듈 AnyAIO 시리즈는모든신호선이 36핀내에들어가도록설계되어 T36-PR 단자대로도사용할수있다. 또한큰전류출력을요구하는데에는출력단에 Power 트랜지스터를사용하여전류드라이브용량 (500mA) 을늘인전용단자대를사용할수도있다. 20

25 Hardware User Manual Rev 주문정보 6. 주문정보 (AnyBus Family) B P x R R : Reserved D : Digital I/O Built-in H : Half size F : Full size I : ISA bus P : PCI bus Series Name : AnyBus 그림 19. AnyBus Series 모델명읽는방법 표 4. AnyBus 제품군 모델명 설명 BIFR BIHR BPFR BPHR BPHD ISA Full size base board(4 SUB slots) ISA Half size base board(2 SUB slots) PCI Full size base board (4 SUB slots) PCI Full size base board (2 SUB slots) ISA Half size base board(2 SUB slots) include Digital input 16CH / output 16CH 21

26 이설명서의내용은예고없이변경될수있습니다. 용례에사용된회사, 기관, 제품, 인물및사건등은실제데이터가아닙니다. 어떠한실제회사, 기관, 제품, 인물또는사건과도연관시킬의도가없으며그렇게유추해서도안됩니다. 해당저작권법을준수하는것은사용자의책임입니다. 저작권에서의권리와는별도로, 이설명서의어떠한부분도 ( 주 ) 아진엑스텍의명시적인서면승인없이는어떠한형식이나수단 ( 전기적, 기계적, 복사기에의한복사, 디스크복사또는다른방법 ) 또는다른목적으로도복제되거나, 검색시스템에저장또는도입되거나, 전송될수없습니다. ( 주 ) 아진엑스텍은이설명서본안에관련된특허권, 상표권, 저작권또는기타지적소유권등을보유할수있습니다. 서면사용권계약에따라 ( 주 ) 아진엑스텍으로부터귀하에게명시적으로제공된권리이외에, 이설명서의제공은귀하에게이러한특허권, 저작권또는기타지적소유권등에대한어떠한사용권도허용하지않습니다. 22

Hardware User Manual EtherCAT Series PCIe-RxxIF-ECAT

Hardware User Manual EtherCAT Series PCIe-RxxIF-ECAT Hardware User Manual EtherCAT Series PCIe-RxxIF-ECAT Product Information Full information about other AJINEXTEK products is available by visiting our Web Site at: Home Page : www.ajinextek.com E-mail :

More information

Hardware User Manual AnyDIO Series SIO-DB32P G G

Hardware User Manual AnyDIO Series SIO-DB32P G G Hardware User Manual AnyDIO Series SIO-DB32P G G Product Information Full information about other AJINEXTEK products is available by visiting our Web Site at: www.ajinextek.com Useful Contact Information

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

<4D F736F F F696E74202D2032C0E55FC6AEB7B9C0CCB4D720C5B0C6AEB1B8BCBAC7B05F446F6E652E707074>

<4D F736F F F696E74202D2032C0E55FC6AEB7B9C0CCB4D720C5B0C6AEB1B8BCBAC7B05F446F6E652E707074> File: PRO_1_02E.1 차례 S7-300 트레이닝키트... 2 S7-300 트레이닝키트 PLC 구성... 3 S7-300 모듈 I/O 어드레스... 4 S7-400트레이닝키트전체구성품. 5 S7-400 트레이닝키트 PLC 구성... 6 시뮬레이터... 7 콘베이어모델... 8 전체심벌리스트 (1)... 9 전체심벌리스트 (2)... 10 전체심벌리스트

More information

1

1 Hardware User Manual AnyBus Series BPFR/ BPHR Product Information Full information about other AJINEXTEK products is available by visiting our Web Site at: www.ajinextek.com Useful Contact Information

More information

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63>

<4D F736F F D D31312D30312D53572D30312DBBE7BFEBC0DABCB3B8EDBCAD5FBFDCBACEB9E8C6F7BFEB2E646F63> SAM4S Printer Driver Installer 달리명시하지않은한, 인쇄또는복사된문서는통제하지않는문서임 목 차 1. 1. WINDOWS DRIVER INSTALLER 설치 설치...... 2 2. 프린터추가...... 5 3. 프린터제거...... 19 4. 프린터추가 / 제거선택...... 21 5. 프로그램추가 / 제거...... 21 SHC- 11-01-

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

K_R9000PRO_101.pdf

K_R9000PRO_101.pdf GV-R9000 PRO Radeon 9000 PRO Upgrade your Life REV 101 GV-R9000 PRO - 2-2002 11 1 12 ATi Radeon 9000 PRO GPU 64MB DDR SDRAM 275MHz DirectX 81 SMARTSHADER ATI SMOOTHVISION 3D HYDRAVISION ATI CATLYST DVI-I

More information

EISC-GANG_User_Guide_V1.2

EISC-GANG_User_Guide_V1.2 HW/SW User Guide EISC-GANG II 사용 설명서 Ver 1.2, 2012-09-20 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - windows server 2003 수동설치_non pro support_.doc

Microsoft Word - windows server 2003 수동설치_non pro support_.doc Windows Server 2003 수동 설치 가이드 INDEX 운영체제 설치 준비과정 1 드라이버를 위한 플로피 디스크 작성 2 드라이버를 위한 USB 메모리 작성 7 운영체제 설치 과정 14 Boot Sequence 변경 14 컨트롤러 드라이버 수동 설치 15 운영체제 설치 17 운영체제 설치 준비 과정 Windows Server 2003 에는 기본적으로

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

Windows 8에서 BioStar 1 설치하기

Windows 8에서 BioStar 1 설치하기 / 콘텐츠 테이블... PC에 BioStar 1 설치 방법... Microsoft SQL Server 2012 Express 설치하기... Running SQL 2012 Express Studio... DBSetup.exe 설정하기... BioStar 서버와 클라이언트 시작하기... 1 1 2 2 6 7 1/11 BioStar 1, Windows 8 BioStar

More information

MF3010 MF Driver Installation Guide

MF3010 MF Driver Installation Guide 한국어 MF 드라이버설치설명서 사용자소프트웨어 CD-ROM................................................ 1.................................................................... 1..............................................................................

More information

Splentec V-WORM Quick Installation Guide Version: 1.0 Contact Information 올리브텍 주소 : 경기도성남시분당구구미로 11 ( 포인트타운 701호 ) URL: E-M

Splentec V-WORM Quick Installation Guide Version: 1.0 Contact Information 올리브텍 주소 : 경기도성남시분당구구미로 11 ( 포인트타운 701호 ) URL:   E-M Splentec V-WORM Quick Installation Guide Version: 1.0 Contact Information 올리브텍 주소 : 경기도성남시분당구구미로 11 ( 포인트타운 701호 ) URL: http://www.olivetech.co.kr E-Mail: tech@olivetech.co.kr TEL: 031-726-4217 FAX: 031-726-4219

More information

온라인등록용 메뉴얼

온라인등록용 메뉴얼 WIZPLAT Corporation User Manual Gigabit LAN Port + USB3.0 HUB 사용자 설명서 이번에는 Gigabit LAN Port + USB3.0 HUB 를 구입해 주셔서 대단히 감사합니다. 이 사용설명서에는 중요한 주의 사항과 제품의 취급방법이 설명되어 있습니다. 사용하기 전에 설명서를 잘 읽어 보신 후 본 제품을 바르고

More information

Microsoft Word - EISC-GANG_User_Guide_V1.4.doc

Microsoft Word - EISC-GANG_User_Guide_V1.4.doc HW/SW User Guide EISC-GANG II 사용 설명서 Ver 1.4, 2014-2-7 All right reserved. No part of this document may be reproduced in any form without written permission from Advanced Digital Chips Inc. Advanced Digital

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

MF5900 Series MF Driver Installation Guide

MF5900 Series MF Driver Installation Guide 한국어 MF 드라이버설치설명서 사용자소프트웨어 CD-ROM................................................ 1.................................................................... 1..............................................................................

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

I. 인터넷 IP 주소및 PLC 통신 IP 주소설정방법 1. 인터넷 IP 주소설정방법 1 바탕화면 내네트워크환경 로컬영역연결 마우스우측 속성 로컬영역연결속성에서 일반 인터넷프로토콜 [TCP/IP] 선택 속성클릭 2

I. 인터넷 IP 주소및 PLC 통신 IP 주소설정방법 1. 인터넷 IP 주소설정방법 1 바탕화면 내네트워크환경 로컬영역연결 마우스우측 속성 로컬영역연결속성에서 일반 인터넷프로토콜 [TCP/IP] 선택 속성클릭 2 4 장. 기초실습 [ 따라하기 ] ( 실습예제. 2.1 램프점등회로 ) 과목 : PLC 제어및응용 강의차트 : 실습예제 2.1 램프점등회로 (I) 담당연구원 : 박백한 학부 : 기계공학부 학교 : 한국기술교육대학교 1 I. 인터넷 IP 주소및 PLC 통신 IP 주소설정방법 1. 인터넷 IP 주소설정방법 1 바탕화면 내네트워크환경 로컬영역연결 마우스우측 속성

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

Microsoft Word - Bluetooth User Manual_V1.00_KOR.doc

Microsoft Word - Bluetooth User Manual_V1.00_KOR.doc Bluetooth User Manual (RIF-BT10) Contents 1. 제품구성 -------------------------------------------------------- 2 2. 제품 Spec -------------------------------------------------------- 3 3. System 구성 ------------------------------------------------------

More information

6_5상 스테핑 모터_ _OK.indd

6_5상 스테핑 모터_ _OK.indd 모델구성 적용모델 : 표준축형,, A 8K M 5 6 6 B Autonics motor 1: 은편축형만제공합니다. 2: Standard 결선방식은주문사양입니다. ( 단, 24각, A4K-G564(W), A8K-G566(W) 은제외입니다.) 모델구성 Q-42 모터형식 적용모델 : 기어드일체형,, 로터리액츄에이터형, 로터리액츄에이터 + A M 5 최대허용토크 모터상수

More information

ePapyrus PDF Document

ePapyrus PDF Document S104PP (10.4 인치일체형페널 P) S104PP chassis, L Specifications 260 190 46 (W ) 1024 x 768 (4:3) 400 cd 4선압력방식 1.5Kg 245 x 184 (1T~5T 가능 ) Intel eleron J1900 Quard ore 2z Intel VL887 O, O 250 ~ 1T, 40 ~ SS 옵션가능

More information

*Revision History 날짜 내용 최초작성 Tel Fax [2] page

*Revision History 날짜 내용 최초작성 Tel Fax [2] page MSP430-SDS100i 매뉴얼 V1.0 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page *Revision History 날짜 내용 2013. 07. 010 최초작성 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr

More information

ePapyrus PDF Document

ePapyrus PDF Document S104PP (10.4 인치일체형페널 P) S104PP chassis, L Specifications ooling System 260 190 46 (W ) 1024 x 768 (4:3) L 400 cd 4선압력방식 1.5Kg ase an(50 50) onector X 2 VS 고정방식, 매립브라켓고정방식 245 x 184 (1T~5T 가능 ) 12V/5 dapter

More information

Endpoint Protector - Active Directory Deployment Guide

Endpoint Protector - Active Directory Deployment Guide Version 1.0.0.1 Active Directory 배포가이드 I Endpoint Protector Active Directory Deployment Guide 목차 1. 소개...1 2. WMI 필터생성... 2 3. EPP 배포 GPO 생성... 9 4. 각각의 GPO 에해당하는 WMI 연결... 12 5.OU 에 GPO 연결... 14 6. 중요공지사항

More information

6. 설치가시작되는동안 USB 드라이버가자동으로로드됩니다. USB 드라이버가성공적으로로드되면 Setup is starting( 설치가시작되는중 )... 화면이표시됩니다. 7. 화면지침에따라 Windows 7 설치를완료합니다. 방법 2: 수정된 Windows 7 ISO

6. 설치가시작되는동안 USB 드라이버가자동으로로드됩니다. USB 드라이버가성공적으로로드되면 Setup is starting( 설치가시작되는중 )... 화면이표시됩니다. 7. 화면지침에따라 Windows 7 설치를완료합니다. 방법 2: 수정된 Windows 7 ISO Windows 7 설치및 PCIE RAID 설정정보 DK173 초판 11월 2016 A. Windows 7 및 USB 드라이버설치 칩셋사양에따라 Windows 7 설치중에 USB 키보드 / 마우스를사용하려면시스템에서 USB 드라이버를사전로드해야합니다. 이절에서는 USB 드라이버사전로드방법과 Windows 7 설치방법에대해서설명합니다. 방법 1: SATA ODD

More information

설치 순서 Windows 98 SE/Me/2000/XP 1 PC를 켜고 Windows를 시작합니다. 아직 컴퓨터에 프린터를 연결하지 마십시오. 2 PC에 P-S100 CD-ROM(프 린터 드라이버)을 삽입합니다. 3 설치 프로그램을 시작합니다. q CD-ROM의 PS1

설치 순서 Windows 98 SE/Me/2000/XP 1 PC를 켜고 Windows를 시작합니다. 아직 컴퓨터에 프린터를 연결하지 마십시오. 2 PC에 P-S100 CD-ROM(프 린터 드라이버)을 삽입합니다. 3 설치 프로그램을 시작합니다. q CD-ROM의 PS1 디지털 사진 프린터 P-S100 프린터 드라이버 설치 가이드 사용하는 컴퓨터에 따라 제공된 프린터 드라이버를 설치합니다. 설치 절차 에 대한 자세한 내용은 CD-ROM의 Readme 파일을 참조하십시오. 작동 환경 Windows 호환 모델: IBM PC/AT 및 호환품 운영 체제: Windows 98 SE/Me/2000/XP (Windows 98 SE/Me/2000/XP

More information

아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상

아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상 Android 용 Brother Image Viewer 설명서 버전 0 KOR 아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상표입니다. Android는

More information

Turbine Digital Flowmeter SEMI U+ 특징 PVC, PTFE, P.P, PVDF 등 다양한 재질 Size, 유량, Connection별 주문제작 정밀성, 내화학성이 우수 4~20mA, Alarm, 통신(RS485) 등 출력 제품과 Controll

Turbine Digital Flowmeter SEMI U+ 특징 PVC, PTFE, P.P, PVDF 등 다양한 재질 Size, 유량, Connection별 주문제작 정밀성, 내화학성이 우수 4~20mA, Alarm, 통신(RS485) 등 출력 제품과 Controll Turbine Digital Flowmeter SEMI U+ 특징 PVC, PTFE, P.P, PVDF 등 다양한 재질 Size, 유량, Connection별 주문제작 정밀성, 내화학성이 우수 4~20mA, Alarm, 통신(RS485) 등 출력 제품과 Controller의 장착 및 사용이 편리 Specification (사양) 적용유체 : 액체 (D.I or

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows

목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows 삼성SDS 하이패스 USB 드라이버 설치 매뉴얼 삼성SDS(주) 목 차 1. 드라이버 설치...3 1.1 설치환경...3 1.2 드라이버 설치 시 주의사항...3 1.3 USB 드라이버 파일...3 1.4 Windows XP에서 설치...4 1.5 Windows Vista / Windows 7에서 설치...7 1.6 Windows 8에서 설치...9 2. 드라이버

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

TOOLS Software Installation Guide

TOOLS Software Installation Guide Steinberg TOOLS 소프트웨어설치안내서 정보 이소프트웨어및설치안내서는 Yamaha Corporation 이독점저작권을가지고있습니다. 제조사의사전동의없이어떠한방법으로든전체또는부분적으로소프트웨어를복사하거나이설치안내서를복제하는것은명백히금지됩니다. Steinberg/Yamaha 는소프트웨어및문서자료사용에관하여어떠한대변또는보증을하지않으며, 이설치안내서및소프트웨어사용결과에대하여책임있는것으로간주될수없습니다.

More information

CL5 CL3 CL1 QL5 QL1 V4.5 Supplementary Manual

CL5 CL3 CL1 QL5 QL1 V4.5 Supplementary Manual CL/QL 시리즈 이보충설명서에서는주로 CL5/CL3/CL1 및 QL5/QL1 펌웨어 V4.5 에서추가또는변경된기능에관해설명합니다. CL5/CL3/CL1 및 QL5/QL1 V4 사용설명서및참조설명서와함께사용하십시오. CL/QL Editor 이보충설명서에서는주로 CL/QL Editor V4.5 에서추가또는변경된기능에관해설명합니다. 참고 이보충설명서에수록된설명은

More information

목차 1. 시스템요구사항 암호및힌트설정 ( 윈도우 ) JetFlash Vault 시작하기 ( 윈도우 ) JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault

목차 1. 시스템요구사항 암호및힌트설정 ( 윈도우 ) JetFlash Vault 시작하기 ( 윈도우 ) JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault 사용자매뉴얼 JetFlash Vault 100 ( 버전 1.0) 1 목차 1. 시스템요구사항... 3 2. 암호및힌트설정 ( 윈도우 )... 3 3. JetFlash Vault 시작하기 ( 윈도우 )... 7 4. JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault 찾아보기... 10 JetFlash

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

BS-K1217-M□□-3012_ProductGuide_KR_PDF

BS-K1217-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN System [ASLINK ] S-K1217-M-3012..,.,....,,. S-K1217-M08-3012 S-K1217-M12-3012 S-K1217-M18-3012 S-K1217-M30-3012 2() () / 1 2 1 DC..,,.,,,..,....... ' ARW-04 (Ver.04-1.01 ),

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

Mango-E-Toi Board Developer Manual

Mango-E-Toi Board Developer Manual Mango-E-Toi Board Developer Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Musique(002~095).indd

Musique(002~095).indd No! 구성품 확인 각 부분의 이름 사용하기 전에 반드시 다음과 같은 내용물로 구성되어 있는지 확인하세요. 앞면 뒷면 MP3 버튼 휴대폰 배터리 커버 표준형 배터리 배터리 충전기 차량용 충전기 후면 카메라 보조마이크 Melon 플레이어의 곡 보관함으로 이동 컨텐츠 재생시 동작 버튼 배터리 커버 - 컨텐츠 재생/일시정지 사용하기 전에 빛 감지 센서

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

경우 1) 80GB( 원본 ) => 2TB( 복사본 ), 원본 80GB 는 MBR 로디스크초기화하고 NTFS 로포맷한경우 복사본 HDD 도 MBR 로디스크초기화되고 80GB 만큼포맷되고나머지영역 (80GB~ 나머지부분 ) 은할당되지않음 으로나온다. A. Window P

경우 1) 80GB( 원본 ) => 2TB( 복사본 ), 원본 80GB 는 MBR 로디스크초기화하고 NTFS 로포맷한경우 복사본 HDD 도 MBR 로디스크초기화되고 80GB 만큼포맷되고나머지영역 (80GB~ 나머지부분 ) 은할당되지않음 으로나온다. A. Window P Duplicator 는기본적으로원본하드디스크를빠르게복사본하드디스크에복사하는기능을하는것입니다.. 복사본 하드디스크가원본하드디스크와똑같게하는것을목적으로하는것이어서저용량에서고용량으로복사시몇 가지문제점이발생할수있습니다. 하드디스크는사용하려면, 디스크초기화를한후에포맷을해야사용가능합니다. Windows PC는 MBR과 GPT 2 개중에 1개로초기화합니다. -Windows

More information

먼저안전을위한주의사항을반드시읽고스마트폰을올바르게사용하세요. 본모델은안드로이드 OS v2.3에최적화된모델로안드로이드 OS v4.0 이상은지원하지않습니다. 사용설명서의화면과그림은실물과다를수있습니다. 사용설명서의내용은스마트폰의소프트웨어버전또는 SK Telecom의사정에따라 다를수있으며, 사용자에게통보없이일부변경될수있습니다. 최신버전의 사용설명서는삼성전자대표사이트

More information

Studuino소프트웨어 설치

Studuino소프트웨어 설치 Studuino 프로그래밍환경 Studuino 소프트웨어설치 본자료는 Studuino 프로그래밍환경설치안내서입니다. Studuino 프로그래밍 환경의갱신에따라추가 / 수정될수있습니다. 목차 1. 소개... 1 2. Windows... 2 2.1. 프로그래밍환경설치... 2 2.1.1. 웹설치버전설치방법... 2 2.2. Studuino 프로그래밍환경실행...

More information

SMV Vending Machine Implementation and Verification 김성민 정혁준 손영석

SMV Vending Machine Implementation and Verification 김성민 정혁준 손영석 SMV Vending Machine Implementation and Verification 201321124 김성민 201472412 정혁준 201472262 손영석 2015.05.04 Contents Review 지적사항 개선사항 Review Review sell_denied start coin {1, 5, 10, 50, 100} coin Ready Input_

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

JDK이클립스

JDK이클립스 JDK 와이클립스설치 A. JDK 다운로드, 설치및환경설정 지금부터 JDK를다운로드받아설치하고 JDK를윈도우에서활용할수있도록환경을설정하는전과정을소개한다. 다운로드 www.oracle.com 사이트에접속하여 Downloads 메뉴를선택한후 [ 그림 1] 과같이 "Java for Developers" 를클릭한다. [ 그림 1] www.oracle.com 사이트

More information

NX1000_Ver1.1

NX1000_Ver1.1 See the world in perfect detail 1 2 3 4 J J KL t P A S M i g s v B 5 6 t P A S M i E PASM Z g s v 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 Chapter 1 25 12 11 10 9 1 2 3 4 5 6 7 8 1 t P A S M i g

More information

Microsoft Word - src.doc

Microsoft Word - src.doc IPTV 서비스탐색및콘텐츠가이드 RI 시스템운용매뉴얼 목차 1. 서버설정방법... 5 1.1. 서비스탐색서버설정... 5 1.2. 컨텐츠가이드서버설정... 6 2. 서버운용방법... 7 2.1. 서비스탐색서버운용... 7 2.1.1. 서비스가이드서버실행... 7 2.1.2. 서비스가이드정보확인... 8 2.1.3. 서비스가이드정보추가... 9 2.1.4. 서비스가이드정보삭제...

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

03_원격제어반_IDAC-2W

03_원격제어반_IDAC-2W IDAC-2W (Intelligent Distributed Automatic Controller) 1. 개요 IDAC-2W 는 HVAC 의공기조화기, 냉온수열원장비, BC 의저소음휀및기타설비장비를제어하기위한제어기기이다. LCD( Liquid Crystal Display) 가장착된이제어기기는장비의다양한제어기능및데이터통신기능을가지며, Key- Pad 를이용하여장비의운전및설정할수있다.

More information

PowerPoint Template

PowerPoint Template SOFTWARE ENGINEERING Team Practice #3 (UTP) 201114188 김종연 201114191 정재욱 201114192 정재철 201114195 홍호탁 www.themegallery.com 1 / 19 Contents - Test items - Features to be tested - Features not to be tested

More information

ThinkVantage Fingerprint Software

ThinkVantage Fingerprint Software ThinkVantage 지문 인식 소프트웨어 First Edition (August 2005) Copyright Lenovo 2005. Portions Copyright International Business Machines Corporation 2005. All rights reserved. U.S. GOVERNMENT USERS RESTRICTED RIGHTS:

More information

Microsoft PowerPoint - ch07.ppt

Microsoft PowerPoint - ch07.ppt chapter 07. 시스코라우터기본동작 한빛미디어 -1- 학습목표 시스코라우터외적, 내적구성요소 시스코라우터부팅단계 시스코라우터명령어모드 한빛미디어 -2- 시스코라우터구성요소 라우터외부구성요소 (1) [ 그림 ] 2600 라우터전면도 인터페이스카드 전원부 LED 라우터조건 한빛미디어 -3- 시스코라우터구성요소 라우터외부구성요소 (2) [ 그림 ] VTY 를이용한라우터접속

More information

140109_다본다 레전드 매뉴얼

140109_다본다 레전드 매뉴얼 DBL-1000H SD 카드 / SD 카드 분리 방법 제품 사용 12 13 16~19 20 21 4G 8G 16G 32G 4G 8G 16G 32G 모드버튼 이벤트버튼 RED LED BLUE LED 시큐리티 (보안)LED 1. 함께 동봉된 메모리카드를 기기의 방향에 맞게 삽입 합니다. 2. 기기 상단부 거치대에 양면 테잎 보호 비닐을 제거해 주세요.

More information

행자부 G4C

행자부 G4C 인증서발급관리모듈 Ver 1.0 개정이력 버전변경일변경사유변경내용작성자승인 1.0 2012-12-22 제정이경희 Copyright 2006. All rights reserved DreamSecurity. 2 목차 1. 인증시스템 ACTIVEX 설치절차... 4 1.1 설치... 4 2. 인증시스템 ACTIVEX 사용... 7 2.1 인증서발급... 7 2.2

More information

BS-K1117□-M□□-3012_ProductGuide_KR_PDF

BS-K1117□-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN AnyWireASLINK System [ASLINK ] S-K1117-M-312..,.,. AnyWireASLINK :, M12 S-K1117-M8-312 S-K1117-M12-312 S-K1117-M18-312 S-K1117-M3-312 S-K1117S-M12-312 S-K1117S-M18-312 S-K1117S-M3-312

More information

Microsoft Word ARM_ver2_0a.docx

Microsoft Word ARM_ver2_0a.docx [Smart]0703-ARM 프로그램설치 _ver1_0a 목차 1 윈도우기반으로리눅스컴파일하기 (Cygwin, GNU ARM 설치 )... 2 1.1 ARM datasheet 받기... 2 1.2 Cygwin GCC-4.0 4.1 4.2 toolchain 파일받기... 2 1.3 Cygwin 다운로드... 3 1.4 Cygwin Setup... 5 2 Cygwin

More information

Keyboard Pro 88(manual)

Keyboard Pro 88(manual) 사용자 매뉴얼 The ONE Keyboard Pro www.smartpiano.com www.samickstore.co.kr -1- 제품구성 더원 키보드 프로를 구매하여 주셔서 진심으로 감사드립니다. 제품 구성품에 이상이 없는지 확인해 주세요. 제품 구성품에 이상이 있거나, 불량이 확인되시면, support@smartpiano.com로 연락주세요. The ONE

More information

ATS-M100007W-1

ATS-M100007W-1 ATS-M1000DA07W-1 < 이면은비어두었음 > autobasetouch Smart ATS-M1000DA07W-1 사용설명서 1. 하드웨어사양 5 2. 각부명칭및용도 6 4. 외형치수 8 5. PANEL CUTOUT 8 6. 입출력커넥터 9 7. AUTOBASE SCADA S/W 10 7.1 AutoBase SCADA 설치 10 7.2 ActiveSync

More information

book

book 목차 목차 1 소개 1.1 최종사용자사용인증약관...1-1 1. 소프트웨어... 1-1 2. 사용인증약관... 1-1 3. 사용인증... 1-1 4. 제한사항... 1-2 5. 제한보증... 1-2 6. 배상제한... 1-2 7. 해지... 1-3 8. 준거법... 1-3 9. 정부최종사용자... 1-3 2 컴퓨터에연결 2.1 연결방식...2-1 병렬포트연결...

More information

AN2629

AN2629 Tech Note STM32 Nucleo-64 보드 ( 전원, 커낵터 ) Introduction STM32 Nucleo 는 MCU 의최소기능만으로구성된개발보드로연결되지않은나머지핀들을사용자가자유롭게사용할수있도록확장핀커낵터로연결되어있다. STM32 Nucleo 보드의장점은복잡한회로수정없이다른보드나회로를연결하여빠른기능점검과구현을하는데있다. STM32 Nucleo

More information

온습도 판넬미터(JTH-05) 사양서V1.0

온습도 판넬미터(JTH-05)  사양서V1.0 온습도 조절기 Model:JTH-05 1. 제품 사양. [제품 구분] JTH-05A(입력 전원 AC), JTH-05D(입력 전원 DC) [전원 사양] JTH-05A 입력 전압 출력 전원 소비 전력 JTH-05D AC 90~240V DC 10~36V 12Vdc / Max.170mA Max.2W [본체 사이즈] ~ 온/습도 범위(본체): 사용 [0 ~ 50, 85%RH

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

Microsoft Word A_kor.doc

Microsoft Word A_kor.doc 사용설명서 한글 APC Smart-UPS 1000VA/1500VA 230VAC/120VAC/100VAC 750XL/1000XL 230VAC/120VAC 타워형 무정전전원공급장치 990-1063A, 11/01 소개 American Power Conversion Corporation (APC) ž q, Ÿ, m ³ Œ s i ym s Œž. APC ² Œ s

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

Siemens

Siemens SIEMENS () 2004 7 Updated 2004 DEC 09 1. 4 1.1 4 1.2 4 2. 5 2.1 5 2.2 6 2.3 6 2.4 7 3. 8 3.1 50/60 Hz DIP 8 4. 9 4.1 420 9 4.2 420 9 4.3 (CB) 10 5. / () 11 5.1 11 5.2 : P0003 12 6. 13 6.1 13 6.2 15 6.2.1

More information

Microsoft PowerPoint - CPLD_수정1.pptx

Microsoft PowerPoint - CPLD_수정1.pptx Xilinx ISE Design Suite 13.1 대진대학교전자공학과 1. 프로젝트생성하기 Xilinx ISE Design Suite 13.1 을실행한다. 새로운프로젝트생성을위해 File New Project 를클릭한다. 1. 프로젝트생성하기 New Project Wizard 창에서기본설정을마치고 Next 를클릭한다. 프로젝트이름 프로젝트생성경로 프로젝트설명

More information

기술 정보 - Communit

기술 정보 - Communit 기술 정보 Communit Communit-TI-A1-ko-22 버전 2.2 한국어 목차 SMA Solar Technology AG 목차 1 본 문서에 대한 정보.............................................................3 2 제품 세부 정보.................................................................3

More information

소개 Mac OS X (10.9, 10.10, 10.11, 10.12) 와 OKI 프린터호환성 Mac OS X 를사용하는 PC 에 OKI 프린터및복합기 (MFP) 제품을연결하여사용할때, 최고의성능을발휘할수있도록하는것이 OKI 의목 표입니다. 아래의문서는 OKI 프린터및

소개 Mac OS X (10.9, 10.10, 10.11, 10.12) 와 OKI 프린터호환성 Mac OS X 를사용하는 PC 에 OKI 프린터및복합기 (MFP) 제품을연결하여사용할때, 최고의성능을발휘할수있도록하는것이 OKI 의목 표입니다. 아래의문서는 OKI 프린터및 OKI 프린터호환성 Mac OS X 와호환성 Ma(10.9) Ma(10.10) Ma(10.11) Ma(10.12) 버전 : 7.8 날짜 : 2017-05-10 소개 Mac OS X (10.9, 10.10, 10.11, 10.12) 와 OKI 프린터호환성 Mac OS X 를사용하는 PC 에 OKI 프린터및복합기 (MFP) 제품을연결하여사용할때, 최고의성능을발휘할수있도록하는것이

More information

EGC-TB-KF_EGC-HD-TB_BDA_E_ c_ k1

EGC-TB-KF_EGC-HD-TB_BDA_E_ c_ k1 EGC-TB-KF, EGC-HD-TB ko 8076268 2017-11c [8076274] :.... 3 2 Festo EGC-TB-KF, EGC-HD-TB 2017-11c EGC-TB-KF, EGC-HD-TB... 4 1... 4 2... 5 3... 5 4... 6 5... 7 5.1... 7 5.1.1... 7 5.1.2... 9 5.1.3... 10

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

MF Driver Installation Guide

MF Driver Installation Guide Korean MF 드라이버 설치설명서 사용자 소프트웨어 CD-ROM... 드라이버 및 소프트웨어 정보...1 지원되는 운영 체제...1 MF 드라이버 및 MF Toolbox 설치... [쉬운 설치]를 사용한 설치...2 [사용자 정의 설치]를 사용한 설치...10 USB 케이블 연결(USB를 이용해 연결하는 경우만)...20 설치 결과 확인...21 온라인

More information

IRISCard Anywhere 5

IRISCard Anywhere 5 이 빠른 사용자 가이드는 IRISCard Anywhere 5 및 IRISCard Corporate 5 스캐너의 설치와 시작을 도와 드립니다. 이 스캐너와 함께 제공되는 소프트웨어는: - Cardiris Pro 5 및 Cardiris Corporate 5 for CRM (Windows 용) - Cardiris Pro 4 (Mac OS 용) Cardiris 의

More information

SEMI U+

SEMI U+ SSENP FLOW METER 에스에스이엔피 경기도화성시새강 1 길 43 TEL : 031)8015-2452 FAX : 031)935-0452 E-mail : kelvin@ssenp.co.kr Turbine Digital Flow meter 특징 SEMI U+ PVC, PTFE, P.P, PVDF 등다양한재질 정밀성, 내화학성우수 4~20 ma, Alarm,

More information

SQL Developer Connect to TimesTen 유니원아이앤씨 DB 기술지원팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 작성자

SQL Developer Connect to TimesTen 유니원아이앤씨 DB 기술지원팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 작성자 SQL Developer Connect to TimesTen 유니원아이앤씨 DB 팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 2010-07-28 작성자 김학준 최종수정일 2010-07-28 문서번호 20100728_01_khj 재개정이력 일자내용수정인버전

More information

1

1 1 2 3 4 5 6 b b t P A S M T U s 7 m P P 8 t P A S M T U s 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 Chapter 1 29 1 2 3 4 18 17 16 15 5 6 7 8 9 14 13 12 11 10 1 2 3 4 5 9 10 11 12 13 14 15

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information