Microsoft PowerPoint - DSD01_verilog1b.pptx

Size: px
Start display at page:

Download "Microsoft PowerPoint - DSD01_verilog1b.pptx"

Transcription

1 한국기술교육대학교 장영조

2 한국기술교육대학교전기전자통신공학부 2

3 1. Velilog HDL 개요 2. Verilog 첫걸음 3. Velilog 어휘규칙 4. 모듈 5. 데이터형 6. 연산자 7. 인스턴스 8. 시스템태스크와함수 9. 컴파일러지시어 한국기술교육대학교전기전자통신공학부 3

4 설계의기본단위 모듈구성 module module_name (port_list); port 선언 reg 선언 wire 선언 parameter 선언 하위모듈호출 always, initial 문 function, task 정의문 assign 문 function, task 호출문 endmodule semicolon 모듈정의모듈 items no semicolon 한국기술교육대학교전기전자통신공학부 4

5 // 암시적내부연결 ( implicit internal connection ) module module_name (port_name, port_name,... ); module_items endmodule // 명시적내부연결 (explicit internal connection) module module_name (.port_name (signal_name ),.port_name (signal_name ),... ); module_items endmodule 한국기술교육대학교전기전자통신공학부 5

6 code ex1.v, 모듈정의의암시적연결 // 등가비교기 module ex1 ( a1, b1, out1); input [3:0] a1, b1; output out1; assign out1 = ( a1 >= b1 ); // continuous assignment endmodule code ex2.v, 모듈정의에포트선언목록을포함한암시적연결 // 2 input MUX with 2 bit widths module ex2 ( input wire [1:0] i0, i1, input wire sel, output wire [1:0] out2 ); wire t0, t1; assign out2 = {t1, t0}; // concatenation assign t1 = sel? i1[1] : i0[1]; assign t0 = sel? i1[0] : i0[0]; endmodule 한국기술교육대학교전기전자통신공학부 6

7 module items module_port_declarations data_type_declarations module_instances primitive_instances procedural_blocks continuous_assignments task_definitions function_definitions 모듈 item 의기술순서는무관 concurrent, parallel 한국기술교육대학교전기전자통신공학부 7

8 포트선언형식 port_direction data_type signed [port_size] port_name, port_name,..; 포트방향 input : 스칼라 (scalar) 나벡터 (vector) 의입력포트선언 output : 스칼라나벡터의출력포트선언 inout : 스칼라나벡터의양방향포트선언 data type : 선택적, 실수형불가 signed : 선택적, 부호있는수를나타냄 port size : 선택적, 포트크기범위 [msb:lsb] 한국기술교육대학교전기전자통신공학부 8

9 예제 포트선언예 input a1, a2, en; input signed [7:0] a, b; output reg signed [16:0] res; output reg [11:0] cnt1; inout [0:15] data_bus; input [15:12] addr; // 3개의스칼라 1 비트포트 // 2개의 8 비트 signed 값을갖는포트 // 데이터형과 signed 속성을갖는포트 // little endian 표기방식 // big endian 표기방식 // msb:lsb는정수값 parameter BW = 32; input [BW-1:0] addr1; parameter SIZE = 4096; input [log 2 (SIZE)-1:0] addr2; // 상수표현식사용가능 // 상수함수를선언에서호출가능 한국기술교육대학교전기전자통신공학부 9

10 1. Velilog HDL 개요 2. Verilog 첫걸음 3. Velilog 어휘규칙 4. 모듈 5. 데이터형 6. 연산자 7. 인스턴스 8. 시스템태스크와함수 9. 컴파일러지시어 한국기술교육대학교전기전자통신공학부 10

11 net 설계부분의사이에서물리적인연결을만들때사용하는개념 net 는 net 의구동자 (driver) 의값과 strength level 이나혹은 net 의 capacitance, 혹은그자신의값을가지지않은것을그대로반영 한개의 net 를구동하는여러개의구동자가있을경우에는최종값을결정하는 resolution 함수필요 variable type 프로그래밍데이터의임시적저장소로사용되므로레지스터 (register) 데이터형이라고도한다. initial 블록, always 블록, 태스크나 function 과같은프로시듀어의내부에서만값을할당받을수있다. 논리값만저장할수있으며논리 strength 는저장할수없다. 변수는시뮬레이션의시작에는비초기화 (un-initialized) 되고, 값이할당되기전까지는논리 X 의값을유지한다. 한국기술교육대학교전기전자통신공학부 11

12 선언형식 register_type [size] variable_name, variable_name,...; register_type [size] memory_name [array_size]; net_type [size] #(delay) net_name [array_size],...; net_type(drive_stren) [size] #(delay) net_name = cont_assignment; trireg (cap_stren) [size] #(delay,decay_time) net_name,...; parameter const_name = value, const_name = value,... ; event event_name, event_name,... ; delay : 선택사항, net 데이터형에서만사용 size : [msb : lsb] 로나타내는비트자리의크기, 정수, 정수파라미터, 정수상수로나타나는수식사용 array_size : [first_address : last_address] 형태로표현, strength : (strength1, strength0) 이나 (strength0, strength1) 의형태 decay_time : trireg net 의구동자가 turn-off 된후논리 X 값으로방전하기전에 charge 를저장할수있는시간값규정. (rise_delay, fall_delay, decay_ time) 의형식으로표현 한국기술교육대학교전기전자통신공학부 12

13 종류 키워드 reg integer time real realtime 기능임의비트크기의 unsigned variable 32 비트 signed variable 64 비트 unsigned variable 배정도부동소수점 variable 배정도부동소수점 variable 사용 프로시듀어블록내절차형할당에서좌변변수로사용 할당과할당사이의값을유지 reg 변수가하드웨어적인저장장소를의미하는것은아님 한국기술교육대학교전기전자통신공학부 13

14 예제 레지스터변수선언예 regd,e,f; //3개의스칼라 (1 비트 ) 변수 reg signed [12:0] a1, a2; // 2개의 13 비트 signed 변수 reg [7:0] A[0:3][0:15]; // 8비트의 2차원배열변수 integer i, j; // 2개의 signed 정수변수 real r1, r2; // 2개의배정도 (double-precision) 실수변수 reg clk=0,rst=1; // 초기값을가지는 2개의 reg 변수 realtime rtime; // 실수값을가지는 time 변수 time save_stime; initial save_stime = $time; // time 변수 // $time 은현재시뮬레이션시간을반환하는시스템함수 한국기술교육대학교전기전자통신공학부 14

15 code mydff.v, 저장장소가합성되는 reg 형 module mydff (clk, d, q); input d, clk; output q; reg q; clk) q <= d; endmodule code mux2x1.v, 저장장소가합성되지 않는 reg 형 module mux2x1(a, b, sel, out); input [1:0] a, b; input sel; output [1:0] out; reg [1:0] out; or b or sel) if (sel == 1'b0) else endmodule out = a; out = b; 한국기술교육대학교전기전자통신공학부 15

16 종류 키워드 wire, tri wor, trior wand, triand tri0 tri1 supply0 supply1 trireg 기능단순연결을위한와이어 wired outputs or together wired outputs and together 저항성으로 3상일때풀다운, 접지에연결저항성으로 3상일때풀업, 전원에연결상수논리값 0(supply strength), 회로접지에사용상수논리값 1(supply strength), 전원에연결되는 net 3상일때마지막값저장 (capacitance strength), 전하모델링 사용 어떤소자의출력에의해구동되는신호 입력 (input) 포트나입출력포트로선언되는신호 연속할당문의좌변 (LHS, Left Hand Side) 신호로사용 연속할당문의우변 (RHS, Right Hand Side) 구동자가연결되지않으면, default 값인 high-impedance(z) 값이됨. default 자료형 : 1 비트의 wire default 초기값 : z, 단 trireg 는 x 한국기술교육대학교전기전자통신공학부 16

17 각구성성분의연결데이터형 wire,reg wire wire input wire module_instance1 wire wire,reg wire wire wire primitive instance inout wire wire,reg wire,reg module_instance2 wire wire wire, reg output continuous assignment behavior1 assign wire_a=c&d; reg reg_a, reg_b,... integer int_i,... always begin... end declarations register variables initial begin... end behavior2 한국기술교육대학교전기전자통신공학부 17

18 예제 net 데이터선언예 wire d, e, f; // 3개의 1 비트스칼라 net tri1 [7:0] dbus; // 3 상태일때 pull-up인 8비트net wire [1:0] sel = 2'b00; // 초기값을가지는와이어, 초기값은시뮬레이션용 wire signed [1:11] res; // 12 비트 signed net wire [7:0] A[0:15][0:256]; // 8 비트와이어의 2차원배열 wire #(2.4,1.8) ripple; // rise 및 fall delay를가지는 net wire [0:15] (strong1, pull0) sum = a + b; // strength를가진16 비트net 선언과연속할당으로값을전달 trireg (small) #(0,0,35) ram_bit; // smallcapacitance와 rise, falldelay, decay를가진 net wand t3; // wand 형의스칼라 net 한국기술교육대학교전기전자통신공학부 18

19 2 개 net 신호연결시의진리표 wire/tri 0 1 x z 0 0 x x 0 1 x 1 x 1 x x x x x z 0 1 x z tri0 0 1 x z 0 0 x x 0 1 x 1 x 1 x x x x x z 0 1 x 0 tri1 0 1 x z 0 0 x x 0 1 x 1 x 1 x x x x x z 0 1 x 1 wor/trior 0 1 x z x x x 1 x x z 0 1 x z wand/triand 0 1 x z x 1 x 0 x x x z 0 1 x z 한국기술교육대학교전기전자통신공학부 19

20 키워드 parameter 기능 정수, 실수, time, 지연시간, ASCII 스트링을저장하는실행시간 (run-time) 상수. 파라미터값은각모듈에서재정의될수있다. localparam 정수, 실수, time, 지연시간, ASCII 스트링을저장하는지역 (local) 상수 specparam 정수, 실수, time, 지연시간, ASCII 스트링을저장하는특정블록상수 genvar event generate loop 내에서사용되는임시변수로그외의장소에서는사용할수없으며시뮬레이션에서도읽혀지지않는다. 논리값이나데이터저장소를가지지않는순간적인 flag로한모듈내에서 concurrent activities의동기화를위해사용된다. 한국기술교육대학교전기전자통신공학부 20

21 예제 그외데이터형선언예 parameter [2:0] s1 = 3'b001, s2 = 3'b010, s3 = 3'b100; parameter integer period = 50; localparam signed val = -8; event hs_rdy, hs_sent; // 3 개의3비트상수선언 // 정수상수 // unsized signed 상수, 초기값으로크기결정 // 2개의 event 데이터형 예제 파라미터선언예 parameter msb = 15; // msb 를상수15로정의 parameter a = 15, b = 180; // 2개의상수정의 parameter r1 = 25.7; // 실수파라미터정의 parameter size = 8, mask = size - 1; parameter p_delay = (r + f) / 2; // 상수수식정의 parameter signed [3:0] sel = 0; // 벡터상수정의와초기값할당 parameter real r2 = 3.41e8; parameter p1 = 16'h7a5; parameter [31:0] f_const = 1'b1; // 32 비트로변환 parameter s_const = 3'h4; // [2:0] 의암시적사이즈 한국기술교육대학교전기전자통신공학부 21

22 code myxnor.v, 파라미터정의 module myxnor (y_out, a, b); parameter size=8, delay=15; output [size-1:0] y_out; input [size-1:0] a, b; wire [size-1:0] #delay y_out = a ~^ b; endmodule // bit-wise xnor with delay code my_param.v, 파라미터사용예 module my_param; wire [7:0] y1_out; wire [3:0] y2_out; reg [7:0] b1, c1; reg [3:0] b2, c2; myxnor G1 (y1_out, b1, c1); // use default parameters myxnor #(4, 5) G2 (y2_out, b2, c2); // overrides default parameters endmodule 한국기술교육대학교전기전자통신공학부 22

23 net 나 reg 형의다중비트선언 선언형식 data-type [MSB : LSB] signal-name 예제 벡터사용예 wire [7:0] bus; wire [31:0] busa, busb; reg [0:40] addr; busa[7]; busb[2:0]; addr[0:1]; // 32 비트벡터, MSB=31 // 41 비트벡터, MSB=0 // 벡터부분사용예 // 벡터 slice 한국기술교육대학교전기전자통신공학부 23

24 동일한데이터의 1 차원혹은 2 차원정의 벡터는단일객체이나배열은다중객체 (object) 배열로선언될수있는데이터 : reg, net, integer, time 선언형식 data-type array_name [subscript] 배열전체또는일부분은단일할당문에의해값을할당받을수없으며또한수식에사용될수없다. 배열을구성하는요소에만단일할당문으로값을할당받을수있다. 한국기술교육대학교전기전자통신공학부 24

25 배열사용예 reg [7:0] mema[0:255]; // 256 개의 8 비트메모리레지스터, mema reg memb[7:0][0:255]; // 1 비트레지스터의 2 차원배열 wire w_array[3:0][7:0]; // 1 비트와이어의 2 차원배열 integer id[1:64]; // 64 개정수의 1 차원배열 time t_hist[1:1000]; // 1000 개 time 의 1 차원배열 예 : 배열요소에의한할당 mema = 0; // 오류구문 - 전체배열에쓰기불가 memb[1] = 0; // 오류구문 - 배열다중요소, [1][0]..[1][255] 에쓰기불가 memb[1][12:31] = 0; // 오류구문 배열다중요소, [1][12], [1][13],.., [1][31] 쓰기불가 mema[1] = 0; // mema의두번째원소에 8비트값, 0 할당 memb[1][0] = 0; // memb[1][0] 원소에 1비트값, 0 할당 id[4] = 33559; // 정수배열원소에 10진수할당 t_hist[t_index] = $time; // 정수인덱스, t_index로접근된원소에현재시뮬레이션시간할당 한국기술교육대학교전기전자통신공학부 25

26 1. Velilog HDL 개요 2. Verilog 첫걸음 3. Velilog 어휘규칙 4. 모듈 5. 데이터형 6. 연산자 7. 인스턴스 8. 시스템태스크와함수 9. 컴파일러지시어 한국기술교육대학교전기전자통신공학부 26

27 연산자종류연산자기호설명오퍼랜드개수 arithmetic + - * / % ** (+) 부호, 덧셈 (-) 부호, 뺄셈곱셈나눗셈나머지 (modulus) 지수 1, 2 1, shift >> << >>> <<< 논리우측이동논리좌측이동산술우측이동산술좌측이동 relational > < >= <= 큰작은크거나같은작거나같은 equality ==!= ===!== 같은같지않은 case 같은 case 같지않은 bitwise ~ & ^ 비트단위부정비트단위 and 비트단위 or 비트단위 xor reduction & ^ 축소 and 축소 or 축소 xor logical! && 논리부정논리 and 논리 or concatenation { } {{ }} 결합반복 ( 결합 ) 무제한무제한 conditional? : 조건 3 한국기술교육대학교전기전자통신공학부 27

28 피연산자의비트에 x 나 z 가포함된경우, 전체결과값은 x 나누기와나머지연산자에서두번째피연산자가 0인경우, 결과값은 x 나머지연산자의결과값은첫번째피연산자의부호를따름 거듭제곱연산자에서다음의경우에는결과값이정의되지않음 첫번째피연산자가 0이고두번째피연산자가양수가아닌경우 첫번째피연산자가음수이고두번째피연산자가정수값이아닌경우 기호기능 + 더하기 - 빼기 * 곱하기 / 나누기 ( 몫 ) % 나머지 (modulo) ** 거듭제곱 (power) 오퍼랜드자료형 unsigned net signed net unsigned reg signed reg integer time real, realtime 해석 Unsigned Signed, 2 s complement Unsigned Signed, 2 s complement Signed, 2 s complement Unsigned Signed, floating-point 한국기술교육대학교전기전자통신공학부 28

29 산술연산자의동작 + m+n Addntom - m - n Subtract n from m - -m Negate m (2의보수 ) * m * n Multiply m by n / m/n Dividembyn % m % n Modulus of m / n, 나머지 ** m**n m n, 지수 예제 산술연산자사용예 -d10 / 5 // (10의 2의보수 )/5 = ( )/5 5/0 //5/0=x (-7) % (+4) = -3 // 나머지, 왼쪽오퍼랜드의부호를따른다. (+7) % (-2) = +1 // 정수, 레지스터연산예 integer inta; reg [15:0] rega; reg signed [15:0] regs; inta = -4'd12; rega = inta / 3; // -4, inta는 integer, rega는 rega = -4'd12; // rega는 inta = rega / 3; // inta = -4'd12 / 3; // , -4d'12= rega = -12 / 3; // -4, -12 는 integer 자료형, rega 는 regs=-12/3; //-4,regS는 signedreg regs = -4'sd12 / 3; // 1, -4'sd12는 4이므로 4/3=1 한국기술교육대학교전기전자통신공학부 29

30 이동연산자의동작 << m << n 논리, 좌측 n 자리이동, LSB 빈자리 0 fill >> m >> n 논리, 우측 n 자리이동, MSB 빈자리 0 fill <<< m <<< n 산술, 좌측 n 자리이동, LSB 빈자리 0 fill >>> m >>> n 산술, 우측 n 자리이동, MSB 빈자리 MSB로 fill 예제 이동연산자동작예 // a a >> 2 a >>> 2 a << 2 a <<< _ _ _ _ _ _ _ _ _ _1100 한국기술교육대학교전기전자통신공학부 30

31 오퍼랜드의비트가 x 혹은 z 의값을포함하면결과값은 1 비트의 x 두오퍼랜드의비트수가다른경우에는비트수가작은오퍼랜드의 MSB 쪽에 0 이채워져비트수가큰오퍼랜드에맞추어판단 오퍼랜드중하나가실수형이면다른오퍼랜드도실수형으로변환된후비교 관계연산자의동작 < m < n m less than n? 결과는 1 비트 true(1)/false(0) > m > n m greater than n? 결과는 1/0 <= m <= n m less than or equal to n? 결과는 1/0 >= m >= n m greater than or equal to n? 결과는 1/0 예제 관계연산자사용예 //a=8,b=20 // x = 4'b1000, y = 5'b01000, z = 4'1xxx a<b //true a>b //false a<=b //true a>=b //false x<y //0 x>=z //x x>=y //1 y-1<x //1 한국기술교육대학교전기전자통신공학부 31

32 등가연산자의동작 // 등가연산자 - 논리값 0과 1을비교 == m == n m is equal to n? 결과는 1 비트 true(1)/false(0)!= m!= n m is not equal to n? 결과는 1/0 // 동일 (identity) 연산자 - 0, 1, x 및 z 값비교 === m === n m is identical to n? 결과는 1/0!== m!== n m is not identical to n? 결과는 1/0 예제 등가연산자사용예 code TB_eq1.v, 테스트벤치 // X=4'b1010, Y=4'b1101, Z=4'b1xxz, M=4'b1xxz X==Y //0 X==Z //x M == Z // x M === Z // 1 module TB_eq1; wire [3:0] X, Y, Z, M; assign X = 4'b1010; assign Y = 4'b1101; assign Z = 4'b1xxz; assign M = 4'b1xxz; initial #4 $display (X==Y, X==Z, M===Z, M==Z); // 0x1x endmodule 한국기술교육대학교전기전자통신공학부 32

33 and, or, not, xor 와같은논리적연산을비트단위별로수행 오퍼랜드의비트수와동일한비트수의결과, 병렬합성 연산자를구현하는하드웨어는기본적인논리게이트 비트단위연산자의동작 ~ ~m m의각비트를반전, 단일오퍼랜드 & m & n m과 n의각자리에대하여 and m n m과 n의각자리에대하여 or ^ m ^ n m과 n의각자리에대하여 xor ~^ m ~^ n m과 n의각자리에대하여 xnor ^~ m ^~ n m과 n의각자리에대하여 xnor 예제 비트단위연산자사용예 // X = 4'b1110, Y = 4'b1000, Z = 4'b01xx ~ X // Negation, 결과는 4'b0001 X & Y // Bitwise and, 결과는 4'b1000 X Y //Bitwiseor, 결과는 4'b1110 X ^ Y // Bitwise xor, 결과는 4'b0110 X ^~ Y // Bitwise xnor, 결과는 4'b1001 Y Z // Bitwise xor, 결과는 4'b11xx // 비트단위연산자의할당예 wire [3:0] a, b, c; assign c = a b; // 4 비트단위연속할당 // 아래 4개의각비트할당문과동일 assign c[3] = a[3] b[3]; assign c[2] = a[2] b[2]; assign c[1] = a[1] b[1]; assign c[0] = a[0] b[0]; 한국기술교육대학교전기전자통신공학부 33

34 단일오퍼랜드에동작, 벡터입력에대하여 1 비트의결과 입력수가여러개인단일게이트합성 축소연산자의동작 & &m m 의모든비트를 and, 1 비트결과 ~& ~&m m 의모든비트를 nand, 1 비트결과 m m 의모든비트를 or, 1 비트결과 ~ ~ m m 의모든비트를 nor, 1 비트결과 ^ ^m m 의모든비트를 xor, 1 비트결과 ~^ ~^m m 의모든비트를 xnor, 1 비트결과 ^~ ^~m m 의모든비트를 xnor, 1 비트결과예제 축소연산자사용예 wire [3:0] a = 4'b0101; wire x1, x2, y1, y2, y3 ; // 다음두연산의결과 x1 과 x2 는동일 assignx1=&a; //reduction,0&1&0&1=0 assign x2 = a[3] & a[2] & a[1] & a[0]; // bitwise AND assigny1= a; // =1 assigny2=^a; assigny3=^~a; //0^1^0^1=0 //~(0^1^0^1)=1 한국기술교육대학교전기전자통신공학부 34

35 true(1) 혹은 false(0) 의 1 비트평가결과 if, case 문등의조건식판단에주로사용 논리연산자의동작!!m m 이참이면 0 아니면 1, 1 비트결과 && m && n m 과 m 이동시에참이면 1, 1 비트결과 m n m 과 m 이하나라도참이면 1, 1 비트결과 예제 논리연산자사용예 논리및비트단위연산자비교 A = 5; B = 0; C = 3'b101; D = 3'bx01 A && B // false(0) (A == 5) && ( B == 0) // true(1)!b // 1 C&&D //x A C //1 a b a&b a b a&&b a b (false) 1 (true) (false) 0 (false) (false) 1 (true) (true) 1 (true) 한국기술교육대학교전기전자통신공학부 35

36 스칼라혹은벡터의구성요소를결합하여보다큰벡터생성 결합연산자의동작 { } {m,n} m과 n을결합하여보다큰벡터생성, MSB는 m {{ }} {n{m}} m을 n번반복결합 예제 결합연산자사용예 // a = 1'b1 b =3'b101 c = 2'b01 assign x1 = { b, c }; // 결과 x1은 5'b10101 assign y1 = { a, b, 4'b0111 }; // 결과 y1은 8'b assign z1 = { a, b[1:0], c[1] }; // 결과 z1은 4'b1010 // 반복연산자, {{}} 사용예 assign x2 = { 8{a} }; // 결과 x2는 8'b1111_1111 assign y2 = { 2{a}, 2{b} }; // 결과 y2는 8'b1110_1101 assign z2 = { 3{a}, b, 2{c} }; // 결과 z2는 10'b11_1101_0101 assign byte = { 4{2'b10} }; // 8'b1010_1010 생성 assign hword = { {8{byte[7]} }, byte }; // 16 비트생성, 부호확장 // 1111_1111_1010_1010 한국기술교육대학교전기전자통신공학부 36

37 예제 결합연산자를사용한비트길이조절 예제 결합연산자를사용한비트결합 wire [7:0] a, b; wire [7:0] sum8; wire [8:0] sum9; assignsum8=a+b; assign sum9 = a + b; assign {cout, sum8} = a + b; // 캐리버림 // sum9[8] 에캐리저장 // cout에캐리저장 wire a1, b1; wire [3:0] a4; wire [7:0] b8, c8, d8; assign b8 = {a4, a4}; assign c8 = {a1, b1, a4, 2'b10}; assign d8 = {b8[3:0], c8[7:4]}; 예제 결합연산자를사용한이동연산구현 wire [7:0] a; wire [7:0] rot, shl, sha1, sha2; assign rot = {a[2:0], a[7:3]}; // 3 비트우순환이동 assign shl = {3'b000, a[7:3]}; // 3 비트우이동, MSB는 0으로채움 assign sha1 ={a[7], a[7], a[7], a[7:3]); // 3 비트우이동, // MSB는부호비트로채움, 산술이동 assign sha2 ={4{a[7]), a[7:4]}; // 4 비트우이동, 부호채움 한국기술교육대학교전기전자통신공학부 37

38 3 개의오퍼랜드, RHS 의값을평가하여좌측변수에전달 조건연산자의동작? : sel? m : n sel 이참이면 m 을선택하고아니면 n 을선택 예제 조건연산자사용예 assign out1 = sel? in1 : in0 ; // 2-to-1 MUX assign out2 = ( a >= 3)? (sel? x : y) : (sel? m : n); //nested assign rco = (cnt == 7)? 1'b1 : 1'b0; // counter ripple out assign sum[11:0] = en? ({{2{n[9]}}, n[9:0]} + res[11:0]) : 0 ; assign eq = (~i1 & ~i0)? 1'b1 : // 진리표모델링 (~i1 & i0)? 1'b0 : (i1&~i0)?1'b0: 1'b1; assign max2 = (a>b)? a : b; // a,b 중최대값반환 i1 i assign max3=(a>b)?((a>c)?a:c):((b>c)?b:c); //a,b,c 최대값 eq 한국기술교육대학교전기전자통신공학부 38

39 연산자의평가순위 연산자기호우선순위 예제 연산자우선순위예 a + b >> 1 // a+b 연산한결과에대하여 >> 1 연산 a + (b >> 1) // b>>1 연산한결과에대하여 a와덧셈 a - 1 < b // a-1 연산한결과와 b 비교 a - (1 < b ) // a에 (1 < b) 의비교결과를뺄셈!x!= y && z + x y // ((!x)!= y) && ( (z + x) y ) unary! ~ + - (unary) ** arithmetic */% + - (binary) shift >> << >>> <<< relational <<=>>= equality ==!= ===!== & reduction ^ bitwise logical && conditional? : 높은순위 낮은순위 한국기술교육대학교전기전자통신공학부 39

40 1. Velilog HDL 개요 2. Verilog 첫걸음 3. Velilog 어휘규칙 4. 모듈 5. 데이터형 6. 연산자 7. 인스턴스 8. 시스템태스크와함수 9. 컴파일러지시어 한국기술교육대학교전기전자통신공학부 40

41 구조적모델링에서하위모듈이나프리미티브게이트를포함하는연결과정 포트순서연결 (port order connections) 모듈인스턴스구문 module_name instance_name [instance_array_range] (signal, signal,... ); 포트이름연결 (port name connections) module_name instance_name [instance_array_range] (.port_name(signal), (.port_name(signal),...); 명시적파라미터재정의 (explicit parameter redefinition) defparam heirarchy_path.parameter_name = value; 묵시적파라미터재정의 (implicit parameter redefinition) module_name #(value) instance_name(signals); 포트순서에의한연결 : 모듈정의시사용한포트목록의순서와동일하게신호연결 연결하지않아도되는포트는두개의콤마,, 사용 포트정의시사용한순서와신호의크기가일치하도록유의 포트이름에의한연결 : 포트이름과신호이름을같이표현, 순서에무관 연결이필요하지않는포트는신호이름을빈칸 ( ) 으로남겨둔다. 한국기술교육대학교전기전자통신공학부 41

42 파라미터 (parameter) 를포함한인스턴스 명시적파라미터재정의 파라미터의계층적이름 (hierarchical name) 을가진 defparam 문을사용 묵시적파라미터재정의 모듈사례화시 #(value) 의형태로사용. 모듈내에서여러개의파라미터가선언되었을때는파라미터의선언순서대로값지정 한국기술교육대학교전기전자통신공학부 42

43 예제 파라미터를사용한모듈인스턴스예 module dff1 (q, qb, data, clk); output q, qb; input data, clk; parameter delay = 2; dff_udp #(delay) (q, data, clk); not (qb, q); endmodule module reg4 (q, d, clk); output [3:0] q; input [3:0] d; input clk; wire [3:0] q, d; dff1 u1 (q[0],, d[0], clk); dff1 u2 (.clk(clk),.q(q[1]),.data(d[1])); dff1 u3 (q[2],,d[2], clk); defparam u3.delay = 3.2; dff1 #(2) u4 (q[3],, d[3], clk); endmodule //default 지연파라미터선언 // 사용자정의프리미티브 // 프리미티브게이트인스턴스 // 순서연결, qb는개방 (open) // 이름연결 // 명시적파라미터재정의 // 암시적파라미터재정의 한국기술교육대학교전기전자통신공학부 43

44 예제 인스턴스배열예 module tribuf8 (y, a, en); output [7:0] y; input [7:0] a; input en; wire [7:0] y, a; bufif1 u[7:0] (y, a, en); // Verilog 3상프리미티브버퍼의배열, 배열크기는 8 // 벡터의각비트는서로다른프리미티브인스턴스에연결 endmodule module tribuf32 (out, in, en); output [31:0] out; input [31:0] in; input en; wire [31:0] out, in; tribuf8 i[3:0] (out, in, en); // 8 비트 3상버퍼배열, 배열크기는 4 // 각인스턴스는 32 비트벡터의 8 비트부분크기로연결됨 // 스칼라 en 신호는모든인스턴스에동시연결 endmodule 한국기술교육대학교전기전자통신공학부 44

45 Verilog 에서제공하는프리미티브게이트사용 프리미티브인스턴스구문 gate_type(drive_strength) #(delay) instance_name [instance_array_range] (terminal, terminal,... ); switch_type #(delay) instance_name [instance_array_range] (terminal, terminal,... ); delay : 선택, 프리미티브를통과할때에발생하는전달지연시간 지연값은정수혹은실수사용. 미정의시 default 값은 0(zero delay) 프리미티브인스턴스에서인스턴스이름 (instance_name) 은선택사항 시뮬레이션에서같은프리미티브를사용하였을때구분하기위하여인스턴스이름을사용할수있다. 인스턴스배열범위 (instance_array_range) 는선택사항 다중프리미티브를인스턴스할때각인스턴스가벡터의분리된비트로사례화 한국기술교육대학교전기전자통신공학부 45

46 Verilog 의프리미티브게이트 게이트종류 연결순서 and or nand nor (1_output, 1-or-more_inputs) xor xnor buf not (1-or-more_outputs, 1_input) bufif0 notif0 bufif1 notif1 (1_output, 1_input, 1_control) pullup pulldown (1_output) user-defined-primitives (1_output, 1-or-more_inputs) 인스턴스에서신호연결은순서에의한연결만가능 한국기술교육대학교전기전자통신공학부 46

47 프리미티브게이트의진리표 and 0 1 x z nor 0 1 x z x x x 0 x x x z 0 x x x xor 0 1 x z x x x x x x x x x z x x x x not x x x x 0 x x z x 0 x x xnor 0 1 x z x x x x x x x x x z x x x x buf 입력 출력 입력 출력 x x x x z x z x 한국기술교육대학교전기전자통신공학부 47

48 4가지프리미티브 3상버퍼 /not control control data out data control bufif1 0 1 x z 0 z 0 L L data 1 z 1 H H x z x x x z z x x x control control data out data control notif1 0 1 x z 0 z 1 H H data 1 z 0 L L x z x x x z z x x x out out control bufif0 0 1 x z 0 0 z L L data 1 1 z H H x x z x x z x z x x control notif0 0 1 x z 0 1 z H H data 1 0 z L L x x z x x z x z x x 한국기술교육대학교전기전자통신공학부 48

49 지연시간표현 프리미티브지연시간구문 #delay or #(delay) // 출력천이에서의단일지연시간 #(delay, delay) // (rising, falling) 천이에대한지연시간 #(delay, delay, delay) // (rising, falling, turn_off) 천이지연시간 #(min_delay:typ_delay:max_delay) // 출력천이에대한지연시간의 ( 최소 : 평균 : 최대 ) 지연값 #(min_delay:typ_delay:max_delay, min_delay:typ_delay:max_delay) // (rising, falling) 천이에대한 ( 최소 : 평균 : 최대 ) 지연값 #(min_delay:typ_delay:max_delay, min_delay:typ_delay:max_delay, min_delay:typ_delay:max_delay) // (rising, falling, turn-off) 천이에대한 ( 최소 : 평균 : 최대 ) 지연값 한국기술교육대학교전기전자통신공학부 49

50 예제 and i1 (out1, in1, in2); and #5 (o1, i1, i2, i3, i4); not #(2,3) u7(out2, in); 프리미티브인스턴스예 // zero delay, 인스턴스이름 // 단일게이트지연시간 // ( 상승, 하강 ) 지연시간 wire [3:0] ndy, nd1, nd2; nand #5 u_nd[0:3](ndy, nd1, nd2) // nand 배열 : 배열원소수 =4 wire [31:0] y, a; buf #2.7 b1[31:0] (y, a); // 버퍼배열 : 배열원소수 = 32 한국기술교육대학교전기전자통신공학부 50

51 프리미티브를사용한전가산기모델링 x y ci w2 w1 w3 s co code fa_s.v, 프리미티브를사용한 전가산기모델링예 module fa_s(x, y, ci, s, co); input x, y, ci; output s, co; wire w1, w2, w3; and #10 (w2, x, y); xor #(6, 8) (w1, x, y); and U3 (w3, w1, ci); xor #(6:7:8, 7:8:10) U4 (s, w1, ci); or U5 (co, w2, w3); endmodule 한국기술교육대학교전기전자통신공학부 51

디지털시스템설계및실습 1. Verilog HDL 문법 한국기술교육대학교전기전자통신공학부 Ver1.0 (2008)1

디지털시스템설계및실습 1. Verilog HDL 문법 한국기술교육대학교전기전자통신공학부 Ver1.0 (2008)1 디지털시스템설계및실습 1. Verilog HDL 문법 Ver1.0 (2008)1 Verilog HDL 의역사 q Verilog HDL v 1983년 Gateway Design Automation사에서하드웨어기술언어인 HiLo와 C 언어의특징을기반으로개발 v 1991년 Cadence Design Systems가 Open Verilog International

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

Microsoft PowerPoint - DSD02_verilog2a.pptx

Microsoft PowerPoint - DSD02_verilog2a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 구조적모델링 1. 모듈인스턴스와포트사양 2. 프리미티브게이트 3. 게이트지연시간 4. 파라미터 5. 인스턴스배열 6. generate 블록 2. 데이터플로우모델링 1. 연속할당문 2. 할당지연 3. 동작적모델링 1. 절차형블록 2. 절차형할당문 3. if~else문 4. case 문 5. 반복문

More information

Microsoft PowerPoint - DSD01_verilog1a.pptx

Microsoft PowerPoint - DSD01_verilog1a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. Verilog HDL 개요 2. Verilog 첫걸음 3. Verilog 어휘규칙 4. 모듈 5. 데이터형 6. 연산자 7. 인스턴스 8. 시스템태스크와함수 9. 컴파일러지시어 한국기술교육대학교전기전자통신공학부 3 Verilog HDL 1983 년 Gateway Design Automation

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

Microsoft PowerPoint - M01_VerilogHDL01.ppt [호환 모드]

Microsoft PowerPoint - M01_VerilogHDL01.ppt [호환 모드] Verilog HDL 을이용한디지털시스템설계및실습 1. Verilog HDL 개요 Ver1.0 (2008)1 Verilog HDL 의역사 Verilog HDL 1983 년 Gateway Design Automation 사에서하드웨어기술언어인 HiLo 와 C 언어의특징을기반으로개발 1991 년 Cadence Design Systems 가 Open Verilog

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

Microsoft PowerPoint - Chapter_02.pptx

Microsoft PowerPoint - Chapter_02.pptx 프로그래밍 1 1 Chapter 2. Types, Operators, and Expressions March, 2016 Dept. of software Dankook University http://embedded.dankook.ac.kr/~baeksj 이장의강의목표 2 변수의이해 C언어의표준키워드연산자소개키보드입력 변수의이해 (1/9) 3 덧셈예제 3 +

More information

Microsoft PowerPoint - verilog문법new.ppt

Microsoft PowerPoint - verilog문법new.ppt Verilog HDL Syntax HDL 이란? HDL(Hardware Description Language) VLSI 설계가복잡도증가및 time-to-market 감소 GLM 의 schematic 설계불가능 HDL 언어를이용한시스템및회로수준구현보편화 하드웨어기술언어논리회로의프로그래밍언어에의한표현네트리스트및프로그래밍언어적표현 다양한하드웨어설계방법지원 Structural

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

Microsoft PowerPoint - DSD03_verilog3b.pptx

Microsoft PowerPoint - DSD03_verilog3b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 . 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 input clk 유한상태머신 (Finite State Machine; FSM) 지정된수의상태로상태들간의천이에의해출력을생성하는회로 디지털시스템의제어회로구성에사용 Moore 머신 :

More information

Microsoft PowerPoint - chap-05.pptx

Microsoft PowerPoint - chap-05.pptx 쉽게풀어쓴 C 언어 Express 제 5 장수식과연산자 컴퓨터프로그래밍기초 이번장에서학습할내용 * 수식과연산자란? * 대입연산 * 산술연산 * 논리연산 * 관계연산 * 우선순위와결합법칙 이번장에서는수식과연산자를살벼봅니다. 컴퓨터프로그래밍기초 2 수식 수식 (expression) x + y x*x + 5*x + 6 (principal * interest_rate

More information

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt 변수와상수 1 변수란무엇인가? 변수 : 정보 (data) 를저장하는컴퓨터내의특정위치 ( 임시저장공간 ) 메모리, register 메모리주소 101 번지 102 번지 변수의크기에따라 주로 byte 단위 메모리 2 기본적인변수형및변수의크기 변수의크기 해당컴퓨터에서는항상일정 컴퓨터마다다를수있음 short

More information

Microsoft PowerPoint - Verilog_Summary.ppt

Microsoft PowerPoint - Verilog_Summary.ppt Verilog HDL Summury by 강석태 2006 년 3 월 1 Module module < 모듈이름 >(< 포트리스트 >) < 모듈내용 > endmodule C 언어의함수 (Function) 와같은개념. 대소문자구분. 예약어는소문자로만쓴다. 이름은영문자, 숫자, 언더바 (_) 만허용한다. 문장의끝은항상세미콜론 (;) 으로끝난다. end~ 로시작하는예약어에는

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt

Microsoft PowerPoint - 1-2장 디지털_데이터 .ppt 1 장디지털개념 한국기술교육대학교정보기술공학부전자전공장영조 1.1 디지털과아날로그 아날로그 : 연속적인범위의값으로표현 디지털 : 2 진수의값에의해표시 < 아날로그파형 > < 디지털파형 > 2 1.2 논리레벨과펄스파형 양논리시스템 (positive logic system)- 일반적으로많이사용 1(high 레벨 ), 0(low 레벨 ) 로나타냄. 음논리시스템 (negative

More information

Microsoft PowerPoint - DSD03_verilog3a.pptx

Microsoft PowerPoint - DSD03_verilog3a.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 조합회로설계 2. 순차회로설계 3. FSM 회로설계 4. ASM 을사용한설계 한국기술교육대학교전기전자통신공학부 3 조합논리회로의형태와설계에사용되는 Verilog 구문 조합논리회로의형태 조합논리회로설계에사용되는 Verilog 구문 논리합성이지원되지않는 Verilog 구문 논리게이트 Multiplexer

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - ch07 - 포인터 pm0415

Microsoft PowerPoint - ch07 - 포인터 pm0415 2015-1 프로그래밍언어 7. 포인터 (Pointer), 동적메모리할당 2015 년 4 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) Outline 포인터 (pointer) 란? 간접참조연산자

More information

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 제 8 장. 포인터 목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 포인터의개요 포인터란? 주소를변수로다루기위한주소변수 메모리의기억공간을변수로써사용하는것 포인터변수란데이터변수가저장되는주소의값을 변수로취급하기위한변수 C 3 포인터의개요 포인터변수및초기화 * 변수데이터의데이터형과같은데이터형을포인터 변수의데이터형으로선언 일반변수와포인터변수를구별하기위해

More information

Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드]

Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드] Chapter 03 변수와자료형 2009 한국항공대학교항공우주기계공학부 (http://mercury.kau.ac.kr/sjkwon) 1 변수와자료유형 변수 프로그램에서자료값을임시로기억할수있는저장공간을변수 (variables) 변수 (Variables) 는컴퓨터의메모리인 RAM(Random Access Memory) 에저장 물건을담는박스라고생각한다면박스의크기에따라담을물건이제한됨

More information

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1

한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 한국기술교육대학교장영조 한국기술교육대학교전기전자통신공학부 1 본슬라이드는 M. Morris Mano and Charles Kime 의 Logic and Computer Design Fundamentals 의내용을참조하였습니다. 한국기술교육대학교전기전자통신공학부 2 1. 레지스터전송과데이터처리장치 2. 순차진행과제어 3. 명령어구조 (Instruction Set

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

Microsoft PowerPoint 자바-기본문법(Ch2).pptx

Microsoft PowerPoint 자바-기본문법(Ch2).pptx 자바기본문법 1. 기본사항 2. 자료형 3. 변수와상수 4. 연산자 1 주석 (Comments) 이해를돕기위한설명문 종류 // /* */ /** */ 활용예 javadoc HelloApplication.java 2 주석 (Comments) /* File name: HelloApplication.java Created by: Jung Created on: March

More information

Microsoft PowerPoint - chap03-변수와데이터형.pptx

Microsoft PowerPoint - chap03-변수와데이터형.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num %d\n", num); return 0; } 1 학습목표 의 개념에 대해 알아본다.

More information

Microsoft PowerPoint - e pptx

Microsoft PowerPoint - e pptx Import/Export Data Using VBA Objectives Referencing Excel Cells in VBA Importing Data from Excel to VBA Using VBA to Modify Contents of Cells 새서브프로시저작성하기 프로시저실행하고결과확인하기 VBA 코드이해하기 Referencing Excel Cells

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a

wire [n-1:0] a, b, c, d, e, f, g, h; wire [n-1:0] x; // internal wires wire [n-1:0] tmp0, tmp1, tmp2, tmp3, tmp4, tmp5; mux_2to1 mux001 (.x(tmp0),.a(a [2010 년디지털시스템설계및실험중간고사 1 답안지 ] 출제 : 채수익 Verilog 문법채점기준 ( 따로문제의채점기준에명시되어있지않아도적용되어있음 ) (a) output이 always 문에서사용된경우, reg로선언하지않은경우 (-1 pts) (b) reg, wire를혼동하여사용한경우 (-1 pts) (c) always @( ) 에서모든 input을 sensitivity

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

8장 조합논리 회로의 응용

8장 조합논리 회로의 응용 8 장연산논리회로 가산기 반가산기와전가산기 반가산기 (Half Adder, HA) 8. 기본가 / 감산기 비트의 개 진수를더하는논리회로. 개의입력과출력으로구성. 개입력은피연산수 와연산수 y 이고, 출력은두수를합한결과인합 S(sum) 과올림수 C(carry) 를발생하는회로. : 피연산수 : 연산수 : 합 y C S y S C 올림수 올림수 전가산기 : 연산수

More information

KNK_C03_Expr_kor

KNK_C03_Expr_kor Expressions adopted from KNK C Programming : A Modern Approach Operators 연산자 C 는표현식을많이사용함 표현식은변수와상수와연산자로구성됨 C 에는연산자의종류가다양함 1. arithmetic operators ( 수식연산자 ) 2. relational operators ( 관계연산자 ) 3. logical

More information

Microsoft PowerPoint - KNK_C03_Expr_kor

Microsoft PowerPoint - KNK_C03_Expr_kor Expressions adopted from KNK C Programming : A Modern Approach Operators 연산자 C 는표현식을많이사용함 표현식은변수와상수와연산자로구성됨 C 에는연산자의종류가다양함 1. arithmetic operators ( 수식연산자 ) 2. relational operators ( 관계연산자 ) 3. logical

More information

PowerPoint Presentation

PowerPoint Presentation 컴퓨터프로그래밍 Computer Programming 08 포인터기초 목차 1. 포인터변수와선언 2. 간접연산자 * 와포인터연산 3. 포인터형변환과다중포인터 컴퓨터프로그래밍 (Computer Programming) - 08 포인터기초 3 1. 포인터변수와선언 주소개념 주소 address 메모리공간은 8비트인 1 바이트마다순차적인고유한번호 메모리주소는저장장소인변수이름과함께기억장소를참조하는또다른방법

More information

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Function) 1. 함수의개념 입력에대해적절한출력을발생시켜주는것 내가 ( 프로그래머 ) 작성한명령문을연산, 처리, 실행해주는부분 ( 모듈 ) 자체적으로실행되지않으며,

More information

쉽게 풀어쓴 C 프로그래밍

쉽게 풀어쓴 C 프로그래밍 쉽게풀어쓴 C 언어 Express 제 5 장수식과연산자 이번장에서학습할내용 * 수식과연산자란? * 대입연산 * 산술연산 * 논리연산 * 관계연산 * 우선순위와결합법칙 이번장에서는수식과연산자를살펴봅니다. 수식의예 수식 수식 (expression) x + y x*x + 5*x + 6 (principal * interest_rate * period) / 12.0

More information

Microsoft PowerPoint Predicates and Quantifiers.ppt

Microsoft PowerPoint Predicates and Quantifiers.ppt 이산수학 () 1.3 술어와한정기호 (Predicates and Quantifiers) 2006 년봄학기 문양세강원대학교컴퓨터과학과 술어 (Predicate), 명제함수 (Propositional Function) x is greater than 3. 변수 (variable) = x 술어 (predicate) = P 명제함수 (propositional function)

More information

Microsoft PowerPoint - additional01.ppt [호환 모드]

Microsoft PowerPoint - additional01.ppt [호환 모드] 1.C 기반의 C++ part 1 함수 오버로딩 (overloading) 디폴트매개변수 (default parameter) 인-라인함수 (in-line function) 이름공간 (namespace) Jong Hyuk Park 함수 Jong Hyuk Park 함수오버로딩 (overloading) 함수오버로딩 (function overloading) C++ 언어에서는같은이름을가진여러개의함수를정의가능

More information

hwp

hwp BE 8 BE 6 BE 4 BE 2 BE 0 y 17 y 16 y 15 y 14 y 13 y 12 y 11 y 10 y 9 y 8 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 0 BE 7 BE 5 BE 3 BE 1 BE 16 BE 14 BE 12 BE 10 y 32 y 31 y 30 y 29 y 28 y 27 y 26 y 25 y 24 y 23

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드]

Microsoft PowerPoint - 강의자료8_Chap9 [호환 모드] 컴퓨터구조 강의노트 #8: Chapter 9: 컴퓨터산술 2008. 5. 8. 담당교수 : 조재수 E-mail: jaesoo27@kut.ac.kr 1 컴퓨터시스템구조론 제9장컴퓨터산술 (Computer Arithmetic) 2 1 핵심요점들 컴퓨터산술에있어서두가지주요관심사는수가표현되는방법 (2진수형식 ) 과기본적인산술연산들 ( 더하기, 빼기, 곱하기, 나누기

More information

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A 예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = 1 2 3 4 5 6 7 8 9 B = 8 7 6 5 4 3 2 1 0 >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = 0 0 0 0 1 1 1 1 1 >> tf = (A==B) % A 의원소와 B 의원소가똑같은경우를찾을때 tf = 0 0 0 0 0 0 0 0 0 >> tf

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 C 프로그래밍프로젝트 Chap 14. 포인터와함수에대한이해 2013.10.09. 오병우 컴퓨터공학과 14-1 함수의인자로배열전달 기본적인인자의전달방식 값의복사에의한전달 val 10 a 10 11 Department of Computer Engineering 2 14-1 함수의인자로배열전달 배열의함수인자전달방식 배열이름 ( 배열주소, 포인터 ) 에의한전달 #include

More information

Computer Architecture

Computer Architecture 정수의산술연산과부동소수점연산 정수의산술연산부동소수점수의표현부동소수점산술연산 이자료는김종현저 - 컴퓨터구조론 ( 생능출판사 ) 의내용을편집한것입니다. 3.5 정수의산술연산 기본적인산술연산들 2 2 3.5.1 덧셈 2 의보수로표현된수들의덧셈방법 두수를더하고, 만약올림수가발생하면버림 3 3 병렬가산기 (parallel adder) 덧셈을수행하는하드웨어모듈 4- 비트병렬가산기와상태비트제어회로

More information

Microsoft PowerPoint - lec2.ppt

Microsoft PowerPoint - lec2.ppt 2008 학년도 1 학기 상지대학교컴퓨터정보공학부 고광만 강의내용 어휘구조 토큰 주석 자료형기본자료형 참조형배열, 열거형 2 어휘 (lexicon) 어휘구조와자료형 프로그램을구성하는최소기본단위토큰 (token) 이라부름문법적으로의미있는최소의단위컴파일과정의어휘분석단계에서처리 자료형 자료객체가갖는형 구조, 개념, 값, 연산자를정의 3 토큰 (token) 정의문법적으로의미있는최소의단위예,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Lecture 02 프로그램구조및문법 Kwang-Man Ko kkmam@sangji.ac.kr, compiler.sangji.ac.kr Department of Computer Engineering Sang Ji University 2018 자바프로그램기본구조 Hello 프로그램구조 sec01/hello.java 2/40 자바프로그램기본구조 Hello 프로그램구조

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

Microsoft PowerPoint - Chapter_04.pptx

Microsoft PowerPoint - Chapter_04.pptx 프로그래밍 1 1 Chapter 4. Constant and Basic Data Types April, 2016 Dept. of software Dankook University http://embedded.dankook.ac.kr/~baeksj 이장의강의목표 2 기본자료형문자표현방식과문자자료형상수자료형변환 기본자료형 (1/8) 3 변수 (Variables)

More information

<4D F736F F F696E74202D20C1A635C0E520BCF6BDC4B0FABFACBBEAC0DA>

<4D F736F F F696E74202D20C1A635C0E520BCF6BDC4B0FABFACBBEAC0DA> 쉽게풀어쓴 C 언어 Express 제 5 장수식과연산자 이번장에서학습할내용 * 수식과연산자란? * 대입연산 * 산술연산 * 논리연산 * 관계연산 * 우선순위와결합법칙 이번장에서는수식과연산자를살펴봅니다. 수식의예 수식 수식 (expression) x + y x*x + 5*x + 6 (principal * interest_rate * period) / 12.0

More information

Microsoft PowerPoint - DSD02_verilog2b.pptx

Microsoft PowerPoint - DSD02_verilog2b.pptx 한국기술교육대학교 장영조 한국기술교육대학교전기전자통신공학부 2 1. 구조적모델링 1. 모듈인스턴스와포트사양 2. 프리미티브게이트 3. 게이트지연시간 4. 파라미터 5. 인스턴스배열 6. generate 블록 2. 데이터플로우모델링 1. 연속할당문 2. 할당지연 3. 동작적모델링 1. 절차형블록 2. 절차형할당문 3. if~else문 4. case 문 5. 반복문

More information

<4D F736F F F696E74202D C6F672D48444CC0BB20C0CCBFEBC7D120B5F0C1F6C5D0BDC3BDBAC5DBBCB3B0E82E707074>

<4D F736F F F696E74202D C6F672D48444CC0BB20C0CCBFEBC7D120B5F0C1F6C5D0BDC3BDBAC5DBBCB3B0E82E707074> Verilog-HDL 에의한 참고문헌 Verilog HDL : A Guide to Digital Design and Synthesis Author : Samir Palnikar Publisher : PTR-PH HDL Chip Design Author : Douglas J. Smith Publisher : Doone Publications Verilog Center

More information

chap 5: Trees

chap 5: Trees 5. Threaded Binary Tree 기본개념 n 개의노드를갖는이진트리에는 2n 개의링크가존재 2n 개의링크중에 n + 1 개의링크값은 null Null 링크를다른노드에대한포인터로대체 Threads Thread 의이용 ptr left_child = NULL 일경우, ptr left_child 를 ptr 의 inorder predecessor 를가리키도록변경

More information

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 CHAPTER 9 둘중하나선택하기 관계연산자 두개의피연산자를비교하는연산자 결과값은참 (1) 아니면거짓 (0) x == y x 와 y 의값이같은지비교한다. 관계연산자 연산자 의미 x == y x와 y가같은가? x!= y

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp 1 0 1.7 6 5 'A ' '/ u 4 4 2 2 ' " JS P 프로그래밍 " A ', 'b ', ' 한 ', 9, \ u d 6 5 4 ' c h a r a = 'A '; 0 1 0 2 0 3 0 4 0 5 0 6 0 7 0 8 0 9 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 1 8 1 9 < % @ p a g e c o n te n

More information

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드] VHDL 프로그래밍 1. 문법기초 - 간단한조합회로및문법 학습목표 VHDL 기술과소프트웨어와차이파악 Signal assignment 의의미파악 Architecture body 의개념파악 Entity declaration 의개념파악 Process 문의사용법 Variable 과 signal 의차이파악 Library, Use, Package 의사용법 2/53 간단한논리회로예제

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 C 프로그래밍프로젝트 Chap 13. 포인터와배열! 함께이해하기 2013.10.02. 오병우 컴퓨터공학과 13-1 포인터와배열의관계 Programming in C, 정재은저, 사이텍미디어. 9 장참조 ( 교재의 13-1 은읽지말것 ) 배열이름의정체 배열이름은 Compile 시의 Symbol 로서첫번째요소의주소값을나타낸다. Symbol 로서컴파일시에만유효함 실행시에는메모리에잡히지않음

More information

Microsoft PowerPoint - [2009] 02.pptx

Microsoft PowerPoint - [2009] 02.pptx 원시데이터유형과연산 원시데이터유형과연산 원시데이터유형과연산 숫자데이터유형 - 숫자데이터유형 원시데이터유형과연산 표준입출력함수 - printf 문 가장기본적인출력함수. (stdio.h) 문법 ) printf( Test printf. a = %d \n, a); printf( %d, %f, %c \n, a, b, c); #include #include

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770>

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770> 연습문제해답 5 4 3 2 1 0 함수의반환값 =15 5 4 3 2 1 0 함수의반환값 =95 10 7 4 1-2 함수의반환값 =3 1 2 3 4 5 연습문제해답 1. C 언어에서의배열에대하여다음중맞는것은? (1) 3차원이상의배열은불가능하다. (2) 배열의이름은포인터와같은역할을한다. (3) 배열의인덱스는 1에서부터시작한다. (4) 선언한다음, 실행도중에배열의크기를변경하는것이가능하다.

More information

06 세상을 변화시키는 연산자

06 세상을 변화시키는 연산자 Part 06 세상을변화시키는연산자 1 이장의내용 대입문도사실은수식이다 대입연산자의변형 증감연산자 조건연산자 괄호도연산자인가? 우선순위와결합순서정리 2 6.1 대입문도사실은수식이다 3 대입문 변수에값을대입시키는문장 a = a + 1; "a 와 a+1 이같다 " 는뜻이아니라 "a+1 값을변수 a 에저장하라 " 는뜻 대입문동작과정 대입문수행전에변수 a 에 20

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

설계란 무엇인가?

설계란 무엇인가? 금오공과대학교 C++ 프로그래밍 jhhwang@kumoh.ac.kr 컴퓨터공학과 황준하 5 강. 배열, 포인터, 참조목차 배열 포인터 C++ 메모리구조 주소연산자 포인터 포인터연산 배열과포인터 메모리동적할당 문자열 참조 1 /20 5 강. 배열, 포인터, 참조배열 배열 같은타입의변수여러개를하나의변수명으로처리 int Ary[10]; 총 10 개의변수 : Ary[0]~Ary[9]

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

PowerPoint Template

PowerPoint Template 16-1. 보조자료템플릿 (Template) 함수템플릿 클래스템플릿 Jong Hyuk Park 함수템플릿 Jong Hyuk Park 함수템플릿소개 함수템플릿 한번의함수정의로서로다른자료형에대해적용하는함수 예 int abs(int n) return n < 0? -n : n; double abs(double n) 함수 return n < 0? -n : n; //

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Computer Architecture CHAPTER 컴퓨터산술과논리연산 제 3 장 컴퓨터산술과논리연산 3.1 ALU의구성요소 3.2 정수의표현 3.3 논리연산 3.4 시프트연산 3.5 정수의산술연산 3.6 부동소수점수의표현 3.7 부동소수점산술연산 3.1 ALU 의구성요소 산술연산장치 : 산술연산들 (+, -,, ) 을수행 논리연산장치 : 논리연산들 (AND,

More information

1.4.3 증감연산자 후치증가 a++: 프로그램의한명령줄이끝나고 1을증가시킨값을다시 a에저장. 후치감소 a--: 프로그램의한명령줄이끝나고 1을감소시킨값을다시 a에저장. 전치증가 ++a: 1을증가시킨값을미리 a에저장하고프로그램을수행. 전치감소 --a: 1을감소시킨값을미리

1.4.3 증감연산자 후치증가 a++: 프로그램의한명령줄이끝나고 1을증가시킨값을다시 a에저장. 후치감소 a--: 프로그램의한명령줄이끝나고 1을감소시킨값을다시 a에저장. 전치증가 ++a: 1을증가시킨값을미리 a에저장하고프로그램을수행. 전치감소 --a: 1을감소시킨값을미리 1.4.3 증감연산자 후치증가 a++: 프로그램의한명령줄이끝나고 1을증가시킨값을다시 a에저장. 후치감소 a--: 프로그램의한명령줄이끝나고 1을감소시킨값을다시 a에저장. 전치증가 ++a: 1을증가시킨값을미리 a에저장하고프로그램을수행. 전치감소 --a: 1을감소시킨값을미리 a에저장하고프로그램을수행. 예제 ) 증감연산 int a =11, b= 4; printf("a=%d\n",

More information

A Dynamic Grid Services Deployment Mechanism for On-Demand Resource Provisioning

A Dynamic Grid Services Deployment Mechanism for On-Demand Resource Provisioning C Programming Practice (II) Contents 배열 문자와문자열 구조체 포인터와메모리관리 구조체 2/17 배열 (Array) (1/2) 배열 동일한자료형을가지고있으며같은이름으로참조되는변수들의집합 배열의크기는반드시상수이어야한다. type var_name[size]; 예 ) int myarray[5] 배열의원소는원소의번호를 0 부터시작하는색인을사용

More information

Microsoft PowerPoint - a10.ppt [호환 모드]

Microsoft PowerPoint - a10.ppt [호환 모드] Structure Chapter 10: Structures t and Macros Structure 관련된변수들의그룹으로이루어진자료구조 template, pattern field structure를구성하는변수 (cf) C언어의 struct 프로그램의 structure 접근 entire structure 또는 individual fields Structure는

More information

1

1 1 1....6 1.1...6 2. Java Architecture...7 2.1 2SDK(Software Development Kit)...8 2.2 JRE(Java Runtime Environment)...9 2.3 (Java Virtual Machine, JVM)...10 2.4 JVM...11 2.5 (runtime)jvm...12 2.5.1 2.5.2

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

PowerPoint Presentation

PowerPoint Presentation #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 변수와상수의개념에대해알아본다.

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 Http://RAIC.kunsn..kr 2 학습목표 마스터제목스타일편집 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 3 장 : 변수와데이터형 2012 년 이은주 학습목표 변수와상수의개념에대해알아본다. 리터럴상수, 매크로상수, const 변수에대해알아본 다. C 언어의데이터형에대해알아본다. 2 목차 변수와상수 변수 상수 데이터형 문자형 정수형 실수형 sizeof 연산자 3 변수와상수 변수 : 값이변경될수있는데이터 상수 : 값이변경될수없는데이터

More information

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조 - Part2- 제 2 장다차원배열이란무엇인가 학습목차 2.1 다차원배열이란 2. 2 2 차원배열의주소와값의참조 2.1 다차원배열이란 2.1 다차원배열이란 (1/14) 다차원배열 : 2 차원이상의배열을의미 1 차원배열과다차원배열의비교 1 차원배열 int array [12] 행 2 차원배열 int array [4][3] 행 열 3 차원배열 int array [2][2][3]

More information

JAVA PROGRAMMING 실습 02. 표준 입출력

JAVA PROGRAMMING 실습 02. 표준 입출력 자바의기본구조? class HelloJava{ public static void main(string argv[]){ system.out.println( hello,java ~ ){ } } # 하나하나뜯어살펴봅시다! public class HelloJava{ 클래스정의 public static void main(string[] args){ System.out.println(

More information

11장 포인터

11장 포인터 누구나즐기는 C 언어콘서트 제 9 장포인터 이번장에서학습할내용 포인터이란? 변수의주소 포인터의선언 간접참조연산자 포인터연산 포인터와배열 포인터와함수 이번장에서는포인터의기초적인지식을학습한다. 포인터란? 포인터 (pointer): 주소를가지고있는변수 메모리의구조 변수는메모리에저장된다. 메모리는바이트단위로액세스된다. 첫번째바이트의주소는 0, 두번째바이트는 1, 변수와메모리

More information

PowerPoint Template

PowerPoint Template 10 포인터 1 주소 Address( 주소 ) 메모리에는그메모리의저장장소의위치를나타내는주소값 주소 (address) 는 1 바이트마다 1 씩증가하도록메모리에는연속적인번호가구성 2 주소연산자 & & 변수 변수의주소값을알아내려면변수앞에주소연산자 & (ampersand) 를이용 주소값이용장단점 주소값을이용하면보다편리하고융통성있는프로그램이가능 그러나복잡하고어려운단점

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

PowerPoint Presentation

PowerPoint Presentation 논리회로기초요약 IT CookBook, 디지털논리회로 4-6 장, 한빛미디어 Setion 진수 진수표현법 기수가 인수, 사용. () = +. = 3 () () + + () +. () + + + () +. + () + - () +. + - () + -3 + -4 Setion 3 8 진수와 6 진수 8진수표현법 에서 7까지 8개의수로표현 67.36 (8) = 6

More information

<342EBAAFBCF620B9D720B9D9C0CEB5F92E687770>

<342EBAAFBCF620B9D720B9D9C0CEB5F92E687770> 예약어(reserved word) : 프로그래밍 언어에서 특별한 용도로 사용하고자 미리 지정한 단어 - 프로그램의 구성요소를 구별하게 해주는 역할 => 라벨, 서브 프로그램 이름, 변수에 연관되어 다른 변수나 서브 프로그램 등과 구별 - 식별자의 최대길이는 언어마다 각각 다르며 허용길이를 넘어서면 나머지 문자열은 무시됨 - FORTRAN, COBOL, HTML

More information

Microsoft PowerPoint - C++ 5 .pptx

Microsoft PowerPoint - C++ 5 .pptx C++ 언어프로그래밍 한밭대학교전자. 제어공학과이승호교수 연산자중복 (operator overloading) 이란? 2 1. 연산자중복이란? 1) 기존에미리정의되어있는연산자 (+, -, /, * 등 ) 들을프로그래머의의도에맞도록새롭게정의하여사용할수있도록지원하는기능 2) 연산자를특정한기능을수행하도록재정의하여사용하면여러가지이점을가질수있음 3) 하나의기능이프로그래머의의도에따라바뀌어동작하는다형성

More information

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q =

[2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = [2010 년디지털시스템설계및실험중간고사 2 답안지 ] 출제 : 채수익 1. (a) (10 pts) Robertson diagram Quotient 와 remainder 의 correction 을뒤로미루는것이 non-restoring division 이다. 즉, q = 1, 2r 0 1, 2r

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

슬라이드 1

슬라이드 1 3. 자료와변수 3.1 자료형 자료형 컴퓨터는숫자 (= 데이터 ) 를다룬다. 컴퓨터가다룰수있는숫자의유형이있다. C 언어에서의자료형 크기 (byte) 정수형 ( 문자형 ) char 1 정수형 실수형 ( 부동소수형 ) short 2 int 4 long 4 long long 8 float 4 double 8 long double 8 / 16 강 C 프로그래밍 3

More information