저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

Size: px
Start display at page:

Download "저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할"

Transcription

1 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우, 이저작물에적용된이용허락조건을명확하게나타내어야합니다. 저작권자로부터별도의허가를받으면이러한조건들은적용되지않습니다. 저작권법에따른이용자의권리는위의내용에의하여영향을받지않습니다. 이것은이용허락규약 (Legal Code) 을이해하기쉽게요약한것입니다. Disclaimer

2 공학석사학위논문 Rutile 구조 TiO 2 의 ALD 진행중 RuO 2 기판환원을억제하기위한 SnO 2 박막도입평가 Evaluation of SnO 2 thin films to suppress reduction of RuO 2 electrode during ALD of rutile structured TiO 2 films 2017 년 8 월 서울대학교대학원 재료공학부 송호주

3

4 Abstract DRAM 소자가미세화됨에따라 capacitor를형성하는공정은그난이도가급격히증가해왔다. 특히 data 0 과 1 을구분하기위해서는특정수준이상의전하를 capacitor에저장할수있어야하는데, 구조적인방식으로는더이상동작에필요한정전용량을확보하기어려운상황이되었다. 따라서높은유전율을가지는물질에대한연구가활발히진행되고있는데대표적인물질이 rutile 구조의 TiO 2 이다. TiO 2 는유전상수약 정도로보고되는 high-k 물질로서, 동일한 rutile 구조를가지는 RuO 2 전극위에 ALD 방식으로 epitaxial 증착이가능하다. 따라서높은 aspect ratio를가지는 DRAM capacitor에하부전극으로써 RuO 2 를도입할경우 TiO 2 를균일한두께의얇은막질로증착하는것이가능하다. 그런데 RuO 2 기판은상부유전막의증착온도나증착에사용하는반응 gas, 그리고후속공정에서의 heat budget 등에의해 Ru로쉽게환원될수있다. RuO 2 기판이환원되는경우 oxygen의유실에따른 volume shirink 및 void가발생할수있고이로인하여누설전류특성이나빠질수있다. 또한, 환원반응으로발생한산소가트랜지스터방향으로확산되는경우트랜지스터의 on-off 특성열화를야기할가능성도존재한다. 따라서 RuO 2 와 TiO 2 의중간크기의 formation energy를가지고, lattice mismatch가작은 SnO 2 박막을 barrier로써도입하여환원저항성을높이고 capacitor 특성확보가가능한지를평가하였다. i

5 먼저 95% N 2 /5% H 2 의환원 gas 분위기에서열적스트레스를인가하면서 SnO 2 박막이 RuO 2 의환원저항성을향상시키는지에대해검증을진행하였다. 결정상이나, 표면상태측정을통하여확실하게 SnO 2 로인하여 RuO 2 의환원저항성이높아진다는점을확인하였기에 SnO 2 /RuO 2 를기판으로사용하여 TiO 2 유전막을증착하였다. TiO 2 는 RuO 2 에서와마찬가지로, SnO 2 표면위에서도 rutile 구조로결정화되는것이확인되었다. 열적스트레스평가나 AES depth profile 분석, XRF를이용한 TiO 2 초기성장거동분석을통하여 TiO 2 증착과정및 TiO 2 증착후에도 SnO 2 가효과적으로 RuO 2 의환원을제어하고있다는점이검증되었다. 그리고 TiO 2 증착전, 후의 Ru 면밀도변화측정결과를통하여 RuO 2 기판이 TiO 2 증착과정에환원되면서발생가능한문제점과 SnO 2 도입의효과를함께고찰하였다. SnO 2 박막을도입한소자에상부전극을올려서평판 capacitor를형성한후전기적특성분석을진행하였다. 누설전류는 capacitor가아닌 diode와같은특성을나타내었고, capacitance는이상거동을나타내었다. 제작된소자가기존의 capacitor의특성을보이지않는근본적인원인은사용된 SnO 2 박막의비저항이전극으로사용할만큼충분히낮지않고, high doping된반도체의성질을가지기때문인것으로파악되었다. SnO 2 가 doping된 n-type 반도체의특성을지닌다는사실을바탕으로 energy band diagram이나 capacitor의회로적특성을이용하여특성열화의원인에대해상세히분석하였다. 분석된원인을기반으로전기적특성개선방안들에대해고찰해보고및적용가능성 ii

6 을확인해보았다. 주요어 : TiO 2, Rutile structure, SnO 2, 원자층증착법 (ALD), DRAM, RuO 2, heat treatment, 캐패시터학번 : 송호주 iii

7 Table of Contents Abstract... i List of Tables... vii List of Figures... viii 1. 서론 문헌연구 Atomic Layer Deposition Properties of TiO Rutile phase TiO 2 deposition 실험및분석방법 ALD system 하부전극 (RuO 2, SnO 2 ) 증착 ALD 를이용한 TiO 2, ATO(Al doped TiO 2 ) 증착 증착한막질에 thermal stress 인가 증착된박막분석 결과및논의 iv

8 4.1 RuO 2 환원저항성향상 barrier 로써의 SnO 2 박막도입가능성 확인 SnO 2 /RuO 2 substrate 특성확인 열적스트레스에따른기판환원성비교 SnO 2 박막도입에따른환원저항성향상검증 SnO 2 /RuO 2 기판에서의 rutile 구조 TiO 2 증착가능성확인 TiO 2 증착초기성장거동비교 TiO 2 가증착된기판의열적스트레스에따른환원성비교 TiO 2 증착후기판에서의원소비율확인 SnO 2 /RuO 2 기판위에증착된 TiO 2 의전기적특성확인 SnO 2 /RuO 2 기판에서증착된 TiO 2 박막의 JV, CV 특성 확인 SnO 2 /RuO 2 기판에서의전기적특성원인분석 SnO 2 박막의특성확인 누설전류특성원인고찰 정전용량특성고찰 DRAM capacitor 적용을위한전기적특성개선가능성평가 v

9 4.4.1 SnO 2 /RuO 2 전극 heat treatment 평가 Al doping 된 TiO 2 유전막적용평가 SnO 2 박막 doping 평가 결론 Reference List of Publications Abstracts (in English) vi

10 List of Tables Table Percentage of elements in RuO 2 layer analyzed by EDS Table Standard formation energy at 600K [17] Table RuO 2 thickness difference derived from Ru layer density difference [16] Table Layer density of substrates for low layer density RuO 2 test Table Measured SnO 2 film characteristics Table Lists of measured capacitance at -1.3V and series capacitance by theoretical calculation Table Meaning of symbols and equation of total dissipation factor from actual capacitor loss circuit vii

11 List of Figures Figure Schematic illustration of one ALD reaction cycle [3]... 7 Figure Schematic illustration of different types of adsorption... 8 Figure Schematic of ALD process window with temperature [2]... 9 Figure Bulk structures of rutile and anatase Figure XRD spectra of TiO 2 films grown on ALD Ru, sputtered Ru, and Si substrates (a) θ-2θ, and (b) glancing incidence modes [6] Figure TEM image of TiO 2 /SnO 2 stack. [10] Figure Schematic diagram of the ALD system Figure Molecule structure of RuO Figure Molecule structure of TDMASn ( Sn(N(CH 3 ) 2 ) 4 ) Figure Molecule structure of TTIP ( Ti(OCH(CH 3 ) 2 ) 4 ) Figure 3.5. Molecule structure of TMA ( Al 2 (CH 3 ) 6 ) Figure A schematic representation of ALD cycles for (a) TiO 2, (b) Al doped TiO Figure Schematic diagrams of MIM capacitor for (a) RuO 2 substrate (b) SnO 2 /RuO 2 substrate viii

12 Figure AES depth profile of SnO 2 on RuO 2 substrate Figure Surface roughness of SnO 2 film (a)as deposition, and (b)after being exposed to thermal stress for 80minutes 32 Figure GAXRD peaks of RuO 2 substrate reduction test by thermal stress : (a) RuO 2 only, and (b) 5nm SnO 2 on RuO Figure SEM(Scanning Electron Microscope) images of substrates : (a) RuO 2, (b) RuO 2 with 10min. thermal stress, (c) SnO 2 /RuO 2, and (d) SnO 2 /RuO 2 with 10 min. thermal stress Figure EDS test result of RuO 2 with 10min. thermal stress : (a)ridge area (b)valley area Figure XRD patterns of as-deposited TiO 2 films on RuO 2 and on SnO 2 /RuO Figure Surface morphology of TiO 2 films grown on Figure TiO 2 growth characteristic at initial stage Figure RuO 2 substrate reduction test by thermal stress Figure AES depth profile of (a) TiO 2 on RuO 2 (b) TiO 2 on SnO 2 /RuO Figure Previous experiment result of (a)aes depth profile for RuO 2 [13] (b)tof-meis depth profile for TiO 2 on RuO 2 [14] Figure Layer density difference before and after deposition : ix

13 (a) Ru layer density skew (b) Sn layer density skew Figure Schematic of DRAM capacitor cross section assuming that it occupies all the 3Fx3F area Figure GAXRD peaks of ATO on RuO 2 and on SnO 2 /RuO 2 which have low Ru layer density Figure The current density vs. applied voltage (J-V) plot of the 20nm TiO 2 films on RuO 2 and SnO 2 /RuO 2 substrate.64 Figure (a) The capacitance density vs. applied voltage (C- V) plot, and (b) the dissipation factor vs. applied voltage (DF-V) plot of the 20nm TiO 2 films on RuO 2 and SnO 2 /RuO 2 substrate Figure (a) J-V plot, (b) C-V plot of the TiO 2 films of various thicknesses deposited on 5nm SnO 2 /RuO Figure The XPS spectra of (a)ti 2p peak, (b)o 1s peak of TiO 2 on RuO 2 and TiO 2 on SnO 2 /RuO 2. (c)sn 3d XPS spectra of TiO 2 on SnO 2 /RuO Figure Schematic energy band diagrams to illustrate J-V characteristics of (a) TiO 2 on RuO 2 (b) TiO 2 on SnO 2 /RuO Figure Schematic diagrams to illustrate C-V characteristics under (a) V TE > 0, (b) V TE < Figure Schematic circuit of actual capacitor loss Figure Results of heat treatment on SnO 2 under gas ambient x

14 before TiO 2 deposition, (a) J-V characteristics with TE positive bias, (b) C-V characteristics Figure Results of heat treatment under H 2 gas ambient after TiO 2 deposition on SnO 2 /RuO 2, Figure Schematic band diagram of (a) no treatment, (b) O 2 treatment on SnO 2, (c) H 2 treatment on SnO 2, and (d) H 2 treatment on TiO Figure J-V plot of (a) TiO 2 on RuO 2 and on SnO 2 /RuO 2, (b) Al doped TiO 2 (ATO) on RuO 2 and SnO 2 /RuO 2. Comparison (c) C-V, (d) DF characteristics of TiO 2 and ATO on SnO 2 /RuO Figure GAXRD peaks of TiO 2 on Ta doped SnO 2 /RuO Figure Evaluation of TiO 2 on Ta doped SnO 2 /RuO 2 substrate (a) J-V plot, (b) C-V plot, (c) DF-V plot xi

15 1. 서론 DRAM(Dynamic Random Access Memory) 은컴퓨터를포함한각종전자기기의메인메모리로써가장널리사용되는반도체소자이다. 전하를 capacitor에저장하는방식으로데이터를쉽게쓰고지울수있으며동작에필요한소비전력이낮고동작 speed가빠른, 가장단순하고도효율적인소자이므로수십년간가장중요한메모리소자로써사용되어왔다. 특히최소기억단위인 cell이스위칭기능을하는 transistor 하나와데이터를저장하는 capacitor 하나로구성된비교적간단한구조를가지고있기때문에집적도를높이기에용이하며, cell 하나당생산원가가낮아서대용량메모리를필요로하는전자기기에많이이용되고있다. 하지만지속적으로더높은집적도를가진소자가요구되고있기때문에 DRAM 공정에서는꾸준히미세화 (scaling) 작업이진행되어왔고, 집적도를높이는동시에 DRAM의성능을유지하기위한공정의난이도역시높아지고있다. DRAM 공정중에서도특히 capacitor를형성하는공정은소자미세화에따라그난이도가급격히증가해왔다. Data 0 과 1 을구분하기위해서는특정수준이상의전하를 capacitor에저장할수있어야한다. 하지만소자의 size가줄어듦에따라 capacitor의단면적도줄어들수밖에없고, 이를보완하기위해서는 capacitor의높이가높아져야만하므로요구되는 aspect ratio가급증할수밖에없는상황이다. Aspect ratio의급증은공정난이도를급격히끌어올리게된다. [1] 1

16 이러한점을극복하기위해여러가지방법이강구되고있다. 지금까지는정전용량 (capacitance) 값을높이기위해유전막의두께를낮추고, 유전막의표면적을늘리고, 유전율을높이는방향으로발전되어왔다. 하지만누설전류 (leakage current) 문제는 DRAM의성능을저하시키기때문에유전막의두께를낮추는데한계가있고, aspect ratio 의급격한증가는더이상표면적을늘리는방향으로의진행을어렵게하고있다. 따라서고유전율 (high-k) 을가진유전막의도입이반드시필요한상황이다. 지금까지수세대간 DRAM capacitor에는전극으로써 TiN과유전막으로써 ZAZ (ZrO 2 -Al 2 O 3 -ZrO 2 복합막 ) 가사용되어왔으나, 이를대체하기위한후보중하나로써 rutile 구조의 TiO 2 가활발하게연구되어오고있다. Rutile 구조의 TiO 2 는유전상수 (dielectric constant) 약 정도로보고되는 high-k 물질이다. 현재 TiO 2 를 rutile 구조로성장시키기위한하부전극은동일한 rutile 구조의 RuO 2 가사용되고있는데, RuO 2 는 TiO 2 증착온도나 TiO 2 를증착할때사용하는반응 gas, 그리고후속공정에서의 heat budget 등에의해 Ru로쉽게환원될수있다. TiO 2 의증착과정에 RuO 2 가 Ru로환원될경우, 환원된 Ru가 TiO 2 의증착에사용되는산화제 (oxidant) 에의해쉽게 etch 될수있기때문에 RuO 2 을 DRAM 캐패시터의얇은하부전극으로사용하기부적절한문제가발생할수있다. 또한 TiO 2 증착이후후속공정영향으로인해 RuO 2 가 Ru로환원될경우, 하부전극의 volume shrink에기인한 void 발생이나 morphology 열화로인한누설전류이슈가발생할수있고환원시 2

17 발생한 oxygen이 transistor 방향으로확산되면서 on-off 특성열화를야기할가능성도존재한다. 따라서본논문에서는 rutile 구조의 TiO 2 증착시하부전극인 RuO 2 의환원저항성을높여주기위한 barrier 막질로써의 SnO 2 박막도입가능성을살펴보고자한다. 몇가지평가방법을통해 SnO 2 박막도입이 RuO 2 의환원저항성을높일수있음을검증하고, 전기적특성을확인하였다. 또한확인된전기적특성이 DRAM 캐패시터에적합한지여부를확인및분석하여개선방안에대해서도논의하였다. 3

18 2. 문헌연구 2.1 Atomic Layer Deposition Atomic Layer Deposition(ALD, 원자층증착법 ) 은 CVD(Chemical Vapor Deposition) 기술중하나로, 균일한박막증착에매우용이한특성때문에각광받고있는기술이다. 일반적인 CVD 방법과는달리 ALD에서는 precursor와 reactant의순차적주입을반복하여진행한다. 주입된 precursor나 reactant는기판표면에존재하는한정된수의 site에서 ligand를맞교환하기때문에표면반응이스스로제한되는성질을가지고있다. 따라서막질두께를원자층단위로정교하게조절하는것이가능하고기판의크기에무관하게기판전영역에서균일한두께의막질증착이가능하며종횡비가큰 (high aspect ratio) 복잡한 3D 패턴에대해서도막질을균일하게증착하는것이가능하다. 또한 precursor가기상에서 decomposition되지않아야하므로상대적으로저온에서공정이진행된다. 이와같은 ALD의특성들은반도체소자의초미세화및고집적화에따라 ALD 기술의필요성이나중요성을크게부각시켜왔다. [2] ALD의증착은 precursor 주입, purge, reactant 주입, purge 를하나의주기 (cycle) 로하며이러한주기를반복함으로써증착이진행된다. 또한하나의주기내에 precursor 주입, purge 의단계를추가함으로써다성분계박막증착또한가능해진 4

19 다. ALD 반응에적합한 precursor를선택하고최적화된온도및압력조건에서하나의 precursor와하나의 reactant를사용하는 ALD 과정은 Figure 2. 1에서확인할수있다. Precursor가충분히주입되면주입된 precursor 중일부는기판표면과 ligand exchange를통한화학적결합 (chemisorption) 을이루게되지만기판표면의반응 site 수는제한적이므로이에해당하는만큼의 monolayer만을형성하게된다 (self-terminating reaction). 기판표면의반응 site 수를초과하여공급된 precursor는기판표면과화학적결합을하지못하고, 물리적결합 (physisorption) 만하거나기상상태그대로남아있게되는데이렇게화학적결합이진행되지못한 precursor 및화학적결합후생성된반응부산물 (byproduct) 들은 N 2 혹은 Ar의불활성기체를이용한 purge 과정을통해제거된다. Reactant가주입되면또다시 selfterminating reaction을통해증착하고자했던화합물 monolayer를형성시키게되고, 다시 purge 과정을통해반응부산물및남은반응 gas를제거시키면하나의증착주기가완성된다. [3] 상기와같은방법의 ALD 공정을통하여기판전영역에걸쳐서복잡한 3D 패턴위에균일한막질을성장시키기위해서는비가역적화학반응 (irreversible chemisorptions) 과 surface에서의포화 (saturating) 과정이필수적이다. Figure 2. 2에는흡착방법및포화상태그리고반응 gas의주입시간에따른반응과정에대해대략적으로비교되어있는데, 특히Figure 2. 2 (a) 와같이비가역적화학반응과포화반응이진행되는 precursor 및 reactant를선택해야만 gas가과 5

20 잉공급되거나반응체의분압에변화가발생하더라도자동적으로균질한막질을증착할수있다는 ALD의특성을확보할수있다. [3,4] ALD 특성을확보하기위한또하나의중요한공정요소는증착온도이다. 대체로 ALD는일반적인 CVD 공정에비하여막질증착속도 (Growth rate) 의온도의존성이낮은편이다. 특히특정한온도구간에서는이상적인 self-terminating reaction이가능하므로온도가변하더라도 cycle당증착속도변화없이균일한막질증착이가능한데이를 ALD window 라한다. ALD window보다낮은온도구간에서는 precursor가기판표면에응축됨에따라막질증착속도가높아지거나충분한반응열을확보하지못하여기판표면에서 precursor와 reactant가제대로반응하지않아증착속도가낮아지는경우가발생할수있다. 반대로 ALD window보다높은온도구간에서는주입된 precursor가열분해되면서기판표면에과하게흡착됨에따라 CVD 반응이발생하여증착속도가높아질수있다. 또는고온으로인하여기판표면의반응기들이기판으로부터탈착되면서다음단계의 precursor나 reactant가유입되어도기판에서제대로화학반응하지못하여증착속도가낮아질수있다. Figure 2. 3은이러한관계를도식화한것이다. [2] 6

21 Figure Schematic illustration of one ALD reaction cycle [3] 7

22 Figure Schematic illustration of different types of adsorption (a) irreversible saturating adsorption i.e. self-terminating reaction, (b) reversible saturating adsorption, (c) combined irreversible and reversible saturating adsorption, (d) irreversible non-saturating adsorption (deposition), and (e) irreversible saturating adsorption not allowed to saturate. The vertical dashed line marks the end of the reactant supply and the beginning of a purge. [3] 8

23 Figure Schematic of ALD process window with temperature [2] 9

24 2.2 Properties of TiO 2 TiO 2 는염료나자외선차단제, 살균제등다양한분야에서널리사용되고있는물질이지만, 특히산화력이매우크고안정한물질이기때문에광촉매로써의연구가매우활발하게이루어져왔다. 반도체분야에서는 TiO 2 가지니고있는 high-k(dielectric constant) 특성으로인하여차세대반도체의 gate dielectric 후보로서연구가진행되고있었으나 [5], 최근에는차세대 DRAM capacitor 유전막으로서더많은관심을받고있다. [6] TiO 2 는여러결정구조를가지고있지만주로 rutile, anatase, brookite의세가지결정구조를가지고있는것으로알려져있으며, 안정성을지니는 rutile 구조와 anatase 구조가주된연구대상이다. Rutile과 anatase의 unit cell 구조는 Figure 2. 4에서확인할수있다. 두구조모두하나의 Titanium과 6개의 oxygen이결합하고있는팔면체로구성 (octahedral configuration) 되어있지만, 뒤틀린방향과정도에따라두구조가달라지게된다. 각구조의유전상수 (dielectric constant) 값은 anatase의경우 a축방향 45, c축방향 25로 [7], rutile은 a축방향 90, c축방향 170으로보고되었다 [8]. 10

25 Figure Bulk structures of rutile and anatase. 11

26 2.3 Rutile phase TiO 2 deposition 앞서확인한바와같이 rutile 구조의 TiO 2 는매우높은유전상수값을가지고있기때문에 DRAM capacitor의유전막으로써매력적인후보물질이다. 하지만일반적인 CVD나 ALD 방식으로증착진행할경우 TiO 2 는 amorphous 상태로증착되거나, anatase로결정화되는것으로알려져있다. 따라서 rutile 구조의 TiO 2 박막을얻기위해서는증착이후고온 (~700 C) 에서의 post annealing 과정이필요하다. 이러한 post annealing 과정없이 TiO 2 를 rutile 구조로증착시킬수있는방법이 Ir이나 Ru과같은 noble metal 기판들을사용하는것이다. Ru 기판위에산화력이높은 reactant를사용하여 TiO 2 를 ALD 방식으로증착하게되면 250 C 정도의낮은온도에서도 TiO 2 를 rutile 구조로성장시킬수있다. 이는 TiO 2 증착과정에서높은산화력을지닌 reactant에의해기판인 Ru가산화되면서기판표면에생성된 RuO 2 막질구조의영향을받기때문이다. RuO 2 는 rutile 구조를가지고, RuO 2 와 TiO 2 간의 lattice mismatch가 ( - )/ =2.09%, ( - )/ =-4.76% 정도로작기때문에 TiO 2 가 epitaxial 성장을하면서 rutile 구조로의결정화가가능하다 (Figure 2. 5). RuO 2 위에서 rutile 구조로결정화된 TiO 2 는유전상수 100 이상의 high-k 특성을나타내며, EOT(equivalent oxide thickness) 0.8nm에서도 10-7 A/cm 2 이하의양호한누설전류특성을확보할수있다. [6,9] RuO 2 기판위에서와마찬가지로 SnO 2 기판위에서도 TiO 2 를 rutile 12

27 구조로결정화시키면서증착하는것이가능한것으로연구되었는데, SnO 2 역시 TiO 2 와 lattice mismatch가 ( - )/ =-3.10%, ( - )/ =-7.16% 로작기때문이다. SnO 2 기판위에서증착시킨 TiO 2 역시 Figure 2. 6의 TEM 결과에서보는것처럼결정화가잘되었으며, 이렇게증착한 TiO 2 의 capacitance density 특성은 RuO 2 기판위에서증착한 TiO 2 와유사한수준을보이는것으로보고되었다 [10]. 13

28 Figure XRD spectra of TiO 2 films grown on ALD Ru, sputtered Ru, and Si substrates (a) θ-2θ, and (b) glancing incidence modes [6]. 14

29 Figure TEM image of TiO 2 /SnO 2 stack. [10] 15

30 3. 실험및분석방법 3.1 ALD system 본연구에서는 TiO 2, Al doped TiO 2, SnO 2 막질을 ALD 방식으로증착하였다. TiO 2 와 Al doped TiO 2 박막증착에는 QUROS PLUS-100 ALD system이, SnO 2 박막증착에는 Evertek PLUS-200 system이각각이용되었으며, 두 system 모두공정반응기 (reactor), 기체전달시스템, 오존발생기 (MKS, AX8560) 로구성되어있다. 이와같은 ALD system을도식화하면그림 3.1과같다. 공정반응기는 4inch wafer의공정이가능한크기의 travelingwave형태이다. 메인챔버 (main chamber) 온도는저항체를이용한히터로스테이지하단부를가열하여조절하며최대 600 C까지승온가능하다. 공정중주입된 precursor나 reactant가반응기벽에흡착되는것을방지하기위해반응기내벽의온도를올려공정을진행하는데이벽의온도는 140 C 이상승온가능하다. 공정반응기의잔여 gas 및부산물은 Dry Pump(EDWARDS, DP 40) 를이용하여외부로배출시킨다. 반응기의부피가 600cc 정도로작기때문에공정중 purge가어렵지않으며, 최저진공도는 20mTorr이다. 반응기로 300sccm을흘려주면반응기의압력은 0.47Torr가된다. 본 ALD 장비는세가지의이성분계산화막및이들이혼합된산화막을증착할수있다. 특히 TiO 2 및 Al doped TiO 2 를증착하는 ALD system에는 Zr, Al 그리고 Ti precursor가연결되어있어서동일반 16

31 응기내에서 TiO 2 및 Al doped TiO 2 증착을진행할수있었다. 실험중에는 Ti 소스가가스전달라인에응축되지않도록라인히터를이용하여전달라인의온도를 100 C까지승온하였다. ATO 증착시사용된 Al 소스인 TMA는증기압이매우높기때문에용적이 170cc인 TMA 소스는수냉식방법으로 5 C로냉각하여사용한다. 본 ALD 장비에는 300sccm까지기체를흘려줄수있는 MFC (Mass Flow Controller) 가총 5개장착되어있으며, pneumatic valve 와 manual valve를이용하여기체라인을개폐하였다. 오존발생기의경우 700sccm의산소와소량의질소를혼합하여 plasma를이용해오존을생성하는데, 오존농도는오존발생 cell의압력을조절하여 control 가능하였다. 공정중오존이반응기로유입되지않도록하기위해 by-pass용 rotary pump(varian, DS 202) 가사용되었다. 17

32 Figure Schematic diagram of the ALD system 18

33 3.2 하부전극 (RuO 2, SnO 2 ) 증착 캐패시터의하부전극으로사용된 RuO 2 는 230 C에서 Pulsed CVD 방식으로 Ta 2 O 5 (4nm)/SiO 2 (100nm)/Si 기판위에증착하였으며, 8인치웨이퍼를증착할수있는샤워헤드 (showerhead) 방식의챔버 (Quros Co., Plus-200) 에서공정을진행하였다. RuO 2 증착의전구체 (precursor) 로는 Figure 3. 2의구조를가진 RuO 4 가이용되었는데, RuO 4 는유기용매혼합물에용해된상태로사용되었다 (ToRuS by Air Liquide Co., density of 1.6 M). ToRuS 용액은증기압이높기때문에 (10 Torr at 25 C) carrier gas 없이바로반응기로유입시키는것이가능하였으며, 유입량조정을용이하게하기위하여 3 C로냉각하여사용한다. RuO 2 증착반응의 reactant로는 95%N 2 /5%H 2 의혼합 gas 를환원제로써사용하였다. Pulsed CVD 공정의반응 gas 주입시간은 ToRuS 유입, Ar purge, 환원 gas 유입, Ar purge 과정을각각 1초, 7 초, 3초, 5초로진행하였으며, Ar purge gas 유량은 600sccm으로, 환원 gas 유량은 100sccm으로고정하여사용하였다. RuO 2 기판의환원저항성을높이기위하여도입한 SnO 2 는 Figure 3. 3의분자구조를가지는 Sn(N(CH 3 ) 2 ) 4 (TDMASn) 을사용하고, 산화제로는 O 3 를사용하여 ALD 방식으로증착하였다. TDMASn은버블러를이용하여반응기로유입되도록하였고, carrier gas 유량은 50sccm, purge gas 유량은 1200sccm, O 3 유량은 1150sccm으로설정하였다. TDMASn의 canister는 40 C로설정하였으며, 공정은

34 C에서진행하였다. TDMASn 유입, Ar purge, O 3 유입, Ar purge 과정을반복하였고, 각각 3초, 5초, 0.5초, 20초동안진행하였다. 250 C 에서 SnO 2 를증착할경우완전하게 rutile 구조로결정화되지않기때문에, 완전한결정화를위해서는후속 annealing이필요하다. 하지만, RuO 2 기판위에서증착할경우 rutile 구조를가지는 RuO 2 기판의영향으로인하여 SnO 2 가 rutile 구조로 epi 성장할것이예상되었으므로, 후속열처리는진행하지않았다. 20

35 Figure Molecule structure of RuO 4 Figure Molecule structure of TDMASn ( Sn(N(CH 3 ) 2 ) 4 ) 21

36 3.3 ALD 를이용한 TiO 2, ATO(Al doped TiO 2 ) 증착 TiO 2 박막증착을위한 Ti metal source 는 Figure 3. 4 와같은분자 구조를가지는 Ti(OCH(CH 3 ) 2 ) 4 (TTIP) 를사용하고, 산화제로는 O 3 를사용하였다. TTIP는 carrier gas 없이 source가직접반응기로유입되도록하였고 TTIP canister와 source 전달라인은열선을이용하여각각 68 C, 110 C로가열하였다. 오존농도는 260g/Nm 3 으로하였으며 Ar purge gas 유량은 300sccm으로설정하였다. 반응기의공정압력은 0.8Torr로유지시켰다. Figure 3. 6 (a) 는 TiO 2 ALD 공정의모식도이다. ALD process는 TTIP source 유입, Ar purge, O 3 유입, Ar purge를반복하며진행하였고, 각각 3초, 5초, 3초, 5초동안진행하였다. 증착온도는 260 C 로유지하였고, TiO 2 박막은 RuO 2 /Ta 2 O 5 /Si 혹은 SnO 2 /RuO 2 /Ta 2 O 5 /Si 기판에증착하였다. 다음으로동일한 ALD 장비를이용하여 Al-doped TiO 2 를증착하기위해서 Ti 전구체로는 TTIP를, Al 전구체로는 TMA를사용하였다. Figure 3.5은 TMA의분자구조로, 이는높은증기압을가지기때문에캐니스터외부의 cooling jacket 도움을받아수냉식으로 5 C로냉각하여사용한다. Al doped TiO 2 의공정과정은 Figure 3. 6 (b) 와같으며 TMA 소스유입과 purge의시간을각각 0.5초, 25초로하였다. ATO의경우 super-cycle의형태로진행되는데, 하나의 super-cycle은 m+n 22

37 번의 TiO 2 증착과한번의 Al 2 O 3 로구성된다. ATO 박막내의 Al 농도는 Al과 Ti 전구체의유입비율에따라달라지는데본연구에서는 Al 과 Ti 전구체의유입비율을 1:99로하여증착하였다. Doping된 Al이후속열처리로인하여표면쪽으로치우쳐확산되는경향을고려하여 [11] Al 2 O 3 증착전 TiO 2 는 33cycle, Al 2 O 3 증착후 TiO 2 는 66cycle로하여 TiO 2 막질내에 Al이균일하게분포될수있도록하였다. 23

38 Figure Molecule structure of TTIP ( Ti(OCH(CH 3 ) 2 ) 4 ) Figure 3.5. Molecule structure of TMA ( Al 2 (CH 3 ) 6 ) 24

39 Figure A schematic representation of ALD cycles for (a) TiO 2, (b) Al doped TiO 2 25

40 3.4 증착한막질에 thermal stress 인가 증착된박막의표면열처리평가를진행하기위하여 RTP(rapid thermal annealing, ULTECH) 를이용하였다. RTP 열처리는 95% N 2 /5% H 2 나 O 2 분위기에서진행하였으며, 열처리온도는 400 C로하였다. 3.5 증착된박막분석증착된박막의물리적두께는 spectroscopic ellipsometer(j.a. Woollam, M-2000) 를이용하여측정하였으며, TiO 2 박막의 Ti나 RuO 2 전극의 Ru 면밀도는 X-ray fluorescent spectroscopy(xrf) 를이용하여측정하였다. 박막내불순물농도및 depth profile을확인하기위해 Auger electron spectroscopy (AES, Perkin-Elmer, PHI 660) 분석을진행하였다. 증착된박막의결정성을확인하기위해서 glancing angle X-ray diffraction(xrd) 을이용하였고, 박막의화학적결합상태및전자구조와박막내원소들의농도를확인하기위해 X-ray photoelectron spectroscopy(xps) 분석을진행하였다. 박막의표면상태는 Scanning electron microscope (SEM) 을이용하여관찰하였고, 표면상태와동시에 RMS roughness 확인을위해서는 Atomic force microscopy(afm) 을이용하였다. 박막의전기적특성을확인하기위해 Pt 및 RuO 2 전극을형성시킴으로써 Figure 3. 7과같은 Metal-Insulator-Metal(MIM) 구조의 26

41 capacitor를제작하였다. Pt 전극은 shadow mask를이용하여 sputtering 및 E-beam evaporation 방법으로형성시켰으며, RuO 2 전극또한 shadow mask를이용하여 sputtering 방법으로형성시켰다. 전기적특성은동일방법으로상부전극을형성시킨시료끼리만비교함으로써전극제작방법에기인한특성차이의가능성을배제하였다. 상부전극 (Top electrode) 은형성시킨전극을바로이용하였고, 하부전극 (Bottom electrode) 은시편의특정위치에서 20V 정도의높은전압을순간가하여 breakdown leakage path를형성시킴으로써상부에서 contact 가능하도록하였다. Pt 및 RuO 2 전극과유전막사이계면의 curing을위하여전극을증착시킨후 tube furnace 내에서 N 2 /O 2 (5%) 분위기에서 400 C를유지하면서 30분동안열처리를하였다. 전기적특성은 Hewlett-Packard 4194A impedance analyzer 에서주파수를 10kHz로하여 capacitance-voltage(c-v) 특성을측정하고, 4140B picoammeter를이용하여 current densityvoltage(j-v) 특성을확인하였다. 27

42 Figure Schematic diagrams of MIM capacitor for (a) RuO 2 substrate (b) SnO 2 /RuO 2 substrate 28

43 4. 결과및논의 4.1 RuO 2 환원저항성향상 barrier 로써의 SnO 2 박막도 입가능성확인 본연구는 TiO 2 유전막증착중하부전극인 RuO 2 가 Ru로쉽게환원되는경향을억제시키기위해 SnO 2 박막을 TiO 2 와 RuO 2 사이에추가하여평가하는것이다. 따라서 TiO 2 를증착하기전 SnO 2 박막을증착한 RuO 2 (SnO 2 /RuO 2 ) 의 surface 특성을확인하여, 기판으로써사용가능여부를먼저확인하였다. 또한 thermal stress 평가를통하여 SnO 2 barrier의도입이실제 RuO 2 의환원저항성을높이는데기여하는지여부를우선확인하였다 SnO 2 /RuO 2 substrate 특성확인 RuO 2 기판에 SnO 2 를얇게증착한후막질내불순물여부를확인하기위하여 AES 분석을진행하였으며그결과는 Figure 4. 1에나타내었다. 분석은막질내의탄소 (Carbon) 및질소 (Nitrogen) 불순물관점에서진행하였다. 그결과막질내부에서는탄소및질소불순물모두의미있는수치가전혀확인되지않았고, 탄소불순물의경우시료표면근처에서만일정수준확인되었다. 막질표면의탄소불순물은시료가공기중에노출되는경우분석초기에검출되는것이일반 29

44 적인것으로알려져있기때문에 AES 분석 sputtering 초반에확인된탄소불순물은막질의특성과는무관한것으로간주할수있다. 따라서 RuO 2 상부에 SnO 2 박막을증착한경우탄소나질소불순물의영향없이기판으로사용가능할것으로판단하였다. 본연구는 SnO 2 박막도입을통해환원저항성이높아진기판을구현하여 TiO 2 유전막의하부기판으로서사용가능한지를평가하는것이목적이므로열적 stress에의한표면의물리적상태변화가크지않아야만한다. 따라서동일한 SnO 2 /RuO 2 기판에열적스트레스 (thermal stress) 를인가하면서표면거칠기변화를 AFM으로관찰하였으며 Figure 4. 2가그결과를보여준다. 400 C의 N 2 /H 2 (5%) 환원 gas 분위기의가혹한조건에 80분동안기판을노출시켰으나, 열적 stress가인가되기이전의표면거칠기와유의미한차이는보이지않았으므로기판으로서사용가능함을확인할수있었다. 30

45 Figure AES depth profile of SnO 2 on RuO 2 substrate 31

46 Figure Surface roughness of SnO 2 film (a)as deposition, and (b)after being exposed to thermal stress for 80minutes 32

47 4.1.2 열적스트레스에따른기판환원성비교 SnO 2 를증착한 RuO 2 기판의특성을확인했으므로본격적으로 RuO 2 기판과의환원저항성차이를평가하였다. Figure 4. 3은 RuO 2 기판과 5nm의 SnO 2 박막이증착된 RuO 2 기판각각에대하여 N 2 (95%)/H 2 (5%) 환원 gas 분위기에서 400 열처리 (thermal stress) 진행하며열처리시간에따른 XRD peak 변화를확인한결과이다. 먼저열처리진행전의 XRD 결과를보면두기판모두뚜렷한 RuO 2 peak만을나타내고있다. 하지만열처리를진행한경우 RuO 2 단일기판은 30초의짧은시간만 stress에노출시켜도 RuO 2 peak은모두사라지고, 강한 Ru peak으로변경된것이확인된다. 하지만 RuO 2 위에 SnO 2 박막을증착시킨기판의경우상대적으로긴시간동안 stress에노출되어도 RuO 2 peak intensity에큰변화가없었다. Stress 노출시간을늘려가며확인해본결과, 10분이상노출된이후에야 RuO 2 peak intensity가줄어들면서 Ru peak이커지는것이확인되었다. 따라서 SnO 2 박막이 RuO 2 의환원저항성을높여주는역할을할수있는것으로파악되었다. 하지만 SnO 2 박막이있더라도 thermal stress 80분의가혹조건에서는박막이없는경우와마찬가지로 RuO 2 가완전히 Ru로환원이진행되는것이확인되었다. Figure 4. 4 는 RuO 2 기판및 SnO 2 /RuO 2 기판의열처리전, 후의표 면상태변화를 SEM 으로확인한결과이다. 열처리는두기판동시에 33

48 환원 gas 분위기에서 10분간진행하였으며 (a) 는열처리전 RuO 2 기판, (b) 는열처리후 RuO 2 기판, (c) 는열처리전 SnO 2 /RuO 2 기판, (d) 는열처리후 SnO 2 /RuO 2 기판에서검사한이미지이다. 열처리전기판은 250K 배율로, 열처리후기판은 200K배율로검사한결과를나타내었다. SnO 2 /RuO 2 기판의경우열처리전, 후의표면상태에서유의한변화가관찰되지않는다. 하지만 RuO 2 기판에서는열처리후기판표면상태변화가매우커보인다. Layer의일부영역이비어버린듯한경향이관찰되는데, 이는 RuO 2 가 Ru로환원되면서기존에 oxygen이차지하던자리가비게됨에따라 Ru끼리응집 (agglomeration) 하는현상이발생했기때문인것으로판단된다. 이러한점을확인하기위해 EDS(Energy Dispersive Spectrometer) 검사를진행하였다. 막질내의 O와 Ru의원소비율을비교한결과 Figure 4. 5 및 Table 4. 1 에서확인되는것과같이솟은위치 (ridge area) 에서의 Ru 비율이골진위치 (valley area) 에서의 Ru 비율대비현저히높은것이확인되었다. 열처리전 RuO 2 기판에서확인했던결과와비교시 valley 영역에서의비율이열처리전기존의상태와좀더가까운것으로분석되었다. EDS 분석특성상결과에서보이는 Ru와 O의비율은 RuO 2 layer만의원소비율이아니므로, 이수치자체를절대적인것으로판단할수는없다. 하지만, Figure 4. 3의 GAXRD 결과에서 RuO 2 기판은환원 gas 열처리후 Ru peak만이확인되었던점을감안한다면, ridge 영역과 valley 영역에서의 Ru 원소비율변화발생은 RuO 2 가 Ru로환원되면서부피감소 (volume shrink) 및응집 (agglomeration) 이발생에 34

49 기인했다는것을예상해볼수있다. Valley 영역에서의원소비율은열처리전상태의비율과유사하지만 ridge 영역에서는 Ru가높아진점이그러한사실을검증해준다고할수있다. Valley 영역에서는완전히환원되지않고남은원래상태의일부 RuO 2 layer가분석되었기때문에 Ru와 O의비율이기존 RuO 2 와유사하지만, ridge 영역에서는 RuO 2 가환원된후 Ru가응집된상태이므로 Ru의비율이높게나타나는것이다. 따라서 SEM 표면확인결과및 EDS 결과를통해서도 SnO 2 박막이증착된 RuO 2 기판이 RuO 2 단일기판보다환원저항성이높다는점을알수있었다. 35

50 Figure GAXRD peaks of RuO 2 substrate reduction test by thermal stress : (a) RuO 2 only, and (b) 5nm SnO 2 on RuO 2 36

51 Figure SEM(Scanning Electron Microscope) images of substrates : (a) RuO 2, (b) RuO 2 with 10min. thermal stress, (c) SnO 2 /RuO 2, and (d) SnO 2 /RuO 2 with 10 min. thermal stress. 37

52 Figure EDS test result of RuO 2 with 10min. thermal stress : (a)ridge area (b)valley area Table Percentage of elements in RuO 2 layer analyzed by EDS 38

53 4.2 SnO 2 박막도입에따른환원저항성향상검증 SnO 2 박막도입을통해환원저항성이증진된기판을사용할수있다는점이확인되었으므로, 실제 TiO 2 유전막을증착하며기판평가를진행하였다. SnO 2 /RuO 2 기판에서도 RuO 2 기판에서와마찬가지로 TiO 2 가안정적으로 rutile phase 성장이가능함을확인한후, 여러가지방법을통하여 TiO 2 의기판상태에서도 SnO 2 가 RuO 2 의환원저항성을높인다는것을검증해보았다 SnO 2 /RuO 2 기판에서의 rutile 구조 TiO 2 증착가능성확인 SnO 2 기판의 phase 가 rutile 이라면 SnO 2 기판위에서도 RuO 2 기판 위에서와동일하게 TiO 2 가 rutile phase 로증착가능하다는것은기존 연구에서알려진바있다. [10] 이러한사실을바탕으로 SnO 2 박막이올 라간 RuO 2 기판위에서도 TiO 2 가 rutile 구조로문제없이증착가능하다는점을우선확인하기위해 RuO 2 기판과 SnO 2 /RuO 2 기판위에동시에 TiO 2 650cycle 증착진행후, 증착된 TiO 2 의특성을확인하였다. Figure 4. 6 은증착된 TiO 2 막질의 GAXRD peak 을비교한결과로, RuO 2 기판위에증착한 TiO 2 와동일한 (110), (011), (121) 방향 rutile peak 들이 SnO 2 /RuO 2 기판위에증착한 TiO 2 에서도나타나는 39

54 것을확인할수있다. 하지만 SnO 2 /RuO 2 기판위에증착된 TiO 2 에서뚜렷하게확인되는 (111) 방향 rutile peak의경우 RuO 2 기판위에증착된 TiO 2 에서는거의확인되지않는반면 Ru peak이일부나타나고있다. 이러한현상은 TiO 2 증착과정에 RuO 2 기판의일부가 Ru로환원된데기인한것이라할수있겠으나, TiO 2 가 21nm 정도로충분히두꺼운상태이므로 rutile peak 에서 RuO 2 기판의영향이크지않기때문에기존에 peak intensity가낮은 (111) 방향에서만그차이가 유의하게확인되는것으로판단된다. SnO 2 박막이포함된경우 TiO 2 증착과정에 RuO 2 의환원을억제시켰기때문에 intensity가미약한 (111) peak도뚜렷하게구분되며 Ru peak은미약한것으로판단된다. Figure 4. 7은두기판위에증착된 TiO 2 유전막표면의거칠기 (roughness) 를 AFM으로비교한결과로써, 하부기판변경에따른 TiO 2 에서표면열화는발생하지않은것으로확인되었다. 40

55 Figure XRD patterns of as-deposited TiO 2 films on RuO 2 and on SnO 2 /RuO 2 41

56 Figure Surface morphology of TiO 2 films grown on (a)ruo 2 (b)sno 2 /RuO 2 42

57 4.2.2 TiO 2 증착초기성장거동비교 ALD 방식을사용할경우증착을진행하는기판이나사용하는전구체, 반응물질 (reactant) 에따라서초기증착속도가 bulk 상태의증착속도와다른경우가발생한다. 이는기판과의상호작용에기인한현상인데, 본실험에서사용한 TiO 2 ALD 조건의경우증착초기에기판으로부터산소를공급받으면서일정부분 CVD 반응이발생하여과성장 이생기는것으로알려져있다. [6,12] 만약 TiO 2 막질을증착하는동안 RuO 2 기판이 Ru 로환원된다면환원과정에서발생한산소가기판표 면으로공급되면서, 산소과공급에따른 CVD 반응이발생하여 TiO 2 증착이좀더촉진될것으로예상되었다. 따라서 RuO 2 와 SnO 2 /RuO 2 양쪽기판에서동시에 TiO 2 를증착시키면서증착초기성장률 (growth rate) 을비교하여 RuO 2 의환원정도를비교해보았다. Figure 4. 8은 RuO 2 기판과 SnO 2 /RuO 2 기판에동시에 TiO 2 를증착하면서증착 cycle 수에따른 Ti layer density 변화를확인한결과이다. 두기판모두증착초기 10cycle까지의 growth rate이 10cycle 이후보다빠른것으로확인되는데, 이는기존연구에서확인되었던결과와일치하는현상이다 [12]. 하지만양쪽기판의 growth rate을비교해보면 bulk에서의 growth rate은유사한수준을보이는반면초기 10cycle에서의증착속도는확연하게다르다. RuO 2 기판에서의초기 growth rate은 SnO 2 /RuO 2 기판대비약 3배빠르고, bulk에서의증착속도대비약 4배빠른것으로파악된다. 이러한차이점은 RuO 2 기판 43

58 에서증착할경우 RuO 2 의환원으로인해기판에서발생한산소가 TiO 2 의 CVD 반응을야기시킨반면, SnO 2 /RuO 2 기판에서는증착초기에도 RuO 2 기판대비기판으로부터공급되는산소량이상대적으로적었기때문인것으로예상할수있다. 따라서 TiO 2 유전막을증착시키는단계에서도 RuO 2 의환원을 SnO 2 가적절히억제하고있는것으로파악된다. 44

59 Figure TiO 2 growth characteristic at initial stage 45

60 4.2.3 TiO 2 가증착된기판의열적스트레스에따른환원성비교 TiO 2 증착과정에서 RuO 2 기판환원저항성이 SnO 2 박막도입을통해향상될수있다는점을확인하였으므로, 유전막증착후의열적스트레스환경에서도 SnO 2 박막도입이 RuO 2 의환원저항성을향상시키는지여부를확인해보기로하였다. 실제 DRAM에적용할경우캐패시터공정이후에도여러후속공정이진행되므로다양한열적 stress에노출될수밖에없다. 이러한상황을상정하여상부에 TiO 2 유전막을증착한후열적스트레스에따른하부기판환원여부와, SnO 2 도입으로인한기판환원억제가능성을평가한것이다. 이를위해 RuO 2, SnO 2 /RuO 2 양쪽기판에 TiO 2 를증착시킨후열처리를하면서 RuO 2 기판의 phase 변화를 XRD로관찰하였다. TiO 2 는 RuO 2 와동일한 rutile phase를가지기때문에 XRD 확인시두막질의 peak 위치를쉽게구분할수없으므로, TiO 2 막질의영향성을배제하기위해 TiO 2 를가능한얇게 depo하기로하였다. 따라서 TiO 2 는앞선증착초기거동실험에서기판영향에의한과성장단계를충분히지난것으로확인되는정도인 30cycle만을두기판에동시증착하여평가진행하였다. 열적스트레스는 N 2 (95%)/H 2 (5%) 환원 gas 분위기에서 400 C 열처리를 10분단위로늘려가며인가하였다. Figure 4. 9 의 (a) 는 TiO 2 가증착된 RuO 2 기판의 XRD 결과이고, (b) 는 TiO 2 가증착된 SnO 2 /RuO 2 기판의 XRD 결과이다. 각기판의 as Depo. 상태와열처리를 10 분, 20 분, 30 분진행한이후의 4 가지경 46

61 우에대하여 GAXRD 방식으로측정진행하였다. 평가결과앞서기판 단독평가결과에서이미확인했던것처럼 as Depo. 상태에서도 SnO 2 의유무에따라 Ru peak의미약한차이가보이기시작했다. 그리고 10분의열처리만으로도 RuO 2 기판바로위에 TiO 2 가증착된시료에서는 Ru peak이강하게나타나는동시에 RuO 2 peak intensity가급격히낮아지는것이보였다. 하지만 SnO 2 박막이있는경우 30분이상열처리진행된이후에야 Ru peak이확인되며 RuO 2 peak intensity가낮아지는경향을보임으로써, TiO 2 증착이후후속열처리과정에서도 SnO 2 가기판환원저항성을높이고있다는점을확인할수있었다. 47

62 Figure RuO 2 substrate reduction test by thermal stress (a) TiO 2 on RuO 2 (b) TiO 2 on SnO 2 /RuO 2 48

63 4.2.4 TiO 2 증착후기판에서의원소비율확인 TiO 2 를증착한후, 증착과정에서발생한 RuO 2 의환원정도를비교해보기위하여 AES 분석을통해양쪽시료의 depth profile을확인해보았다. RuO 2 기판및 SnO 2 /RuO 2 기판에 TiO 2 를동시에 50cycle 증착한시료로분석진행하였으며, 분석결과는 Figure 4. 10에보이는것과같다. Data에서확인되는두시료간의결과차이는막질내부로 Ti 원소가분포해있는특성과 RuO 2 layer에포함된 oxygen의상대적인비율에서가장두드러져보인다. Ti 원소분포의경우 TiO 2 를 SnO 2 /RuO 2 기판에증착한시료에서는 SnO 2 layer에서부터 Ti 원소비율이급감하는결과를보이지만, TiO 2 를 RuO 2 기판에증착한시료에서는 RuO 2 하부의 Ta 2 O 5 layer를만날때까지 Ti 원소가점진적으로감소하는현상을보인다. 이러한분포차이를보이는원인으로는두가지가능성을생각해볼수있다. 첫번째는 Ti 원소가 RuO 2 layer에서는쉽게확산되지만 SnO 2 layer에의해기판으로 Ti 원소가확산되는것이저지되었을가능성이고, 두번째는 RuO 2 기판에서증착된 TiO 2 시료의 AES 분석시식각 (etching) 을진행하는과정에서시료표면의완전히제거되지않은 Ti 원소가섞여들어갔을가능성이다. 두가능성모두 RuO 2 의환원저항성관점에서유의한내용이아니므로 SnO 2 유무에따른기판환원저항성비교에서는제외하였다. 하지만 RuO 2 layer에포함된 oxygen와 Ru의상대적비율차이의경우 SnO 2 에의해환원이억제된결과를잘보여주고있다고판단할 49

64 수있다. RuO 2 layer 상단에다른물질이증착되지않은경우, Figure (a) 에서보는것처럼 Ru 와 oxygen 의비율은거의유사하다는 것이동일한조건으로동일장비에서 RuO 2 를증착했던이전연구에서 확인된바있다. [13] 이를기준으로분석결과를살펴보면 RuO 2 위에 서바로 TiO 2 를증착시킨경우 RuO 2 layer에서의 Ru비율이 oxygen 대비약 1.5배높은것이확인되는데, 이는 RuO 2 기판이환원되면서발생하는 oxygen을 TiO 2 layer로빼앗기게되면서상대적인 oxygen 비율이줄어든것으로파악할수있다. 반면에 SnO 2 박막위에서 TiO 2 를증착시킨경우 RuO 2 증착직후의 Ru와 oxygen 비율이그대로유지되고있는것이확인된다. 분석이잘못진행되었거나, 시료자체에문제가있었을가능성을고려하여이실험과동일한조건으로동일한장비에서 TiO 2 를 RuO 2 위에바로증착한경우의이전결과를확 인해보았다. [14] Figure (b) 에서보는것과같이 RuO 2 layer 에 서 Ru의비율이 oxygen 대비약 1.5배높았던것으로확인되며그비율은 Figure 4.10 (a) 에서보이는것과유사하다. 따라서 TiO 2 증착후 RuO 2 layer 내의 Ru와 O의원소비율변화를통해서도 SnO 2 도입으로인해 RuO 2 의환원이억제되고있다는점을파악할수있었다. SnO 2 박막도입을통해 RuO 2 기판의환원저항성을향상시킬수있다는점은 RuO 2 막질내에 Ru와 O 원소비율뿐만아니라, TiO 2 증착전, 후의원소의절대량변화로도확인이가능하였다. Figure 는막질증착전, 후의면밀도변화를 XRF를이용하여확인한결과이다. (a) 는 RuO 2 기판및 SnO 2 /RuO 2 기판위에 TiO 2 를증착할때발 50

65 생하는 Ru 면밀도변화와 SnO 2 /RuO 2 기판을만들기위해 RuO 2 기판위에 SnO 2 박막을증착하는단계에서의 Ru 면밀도변화를나타낸것이다. (b) 는비교를위하여 SnO 2 /RuO 2 기판위에 TiO 2 를증착할때의 Sn 변화를확인하여나타내었다. 모든시료의 TiO 2 는 600cycle로진행하였으며, SnO 2 는 30cycle 진행하였다. 또한 error값은동일한시료를반복측정했을때측정값이달라지는정도의 median 값을확인하여 0.2 g/cm 2 로설정하였다. 가로축은각기다른시점에서 ALD를진행한횟수를의미하며, 각동일한가로축상의 data들은 TiO 2 를동시에증착한 RuO 2 기판과, SnO 2 /RuO 2 기판의변화량이다. SnO 2 증착전, 후의 data는해당 ALD 차수에 TiO 2 증착기판으로사용된 SnO 2 /RuO 2 생성과정에발생한변화량이다. RuO 2 기판위에서 TiO 2 가증착된경우2 ~ 4.8 g/cm 2 사이의 Ru 면밀도변화가확인되었다. 하지만동시에 TiO 2 증착을진행한 SnO 2 /RuO 2 기판에서의 Ru 면밀도변화는 0 ~ 1 g/cm 2 정도의상대적으로매우낮은수준을나타내었다. 이러한면밀도변화는 RuO 2 가환원되어생성된 Ru가 TiO 2 증착중에산화제로사용되는 O 3 와의반응을통하여일부식각 (etch) 되기때문인것으로파악된다. [15] SnO 2 /RuO 2 기판에서 Ru 면밀도변화가적은것은 SnO 2 막질이 RuO 2 의노출을막고있기때문이지만, 실제 SnO 2 증착과정에는 TiO 2 를 RuO 2 위에바로증착했을떄처럼상당량의 Ru 면밀도감소가있었을경우를확인하기위해 SnO 2 증착을전, 후한 RuO 2 기판에서의 Ru 변화역시확인하였으나이때의 Ru 면밀도변화는측정된모든경우에서거의 0에가까운값을보였다. RuO 2 기판위에 SnO 2 박막이 51

66 증착되고 TiO 2 유전막이증착되는전과정동안의 Ru 전체변화량을상정하더라도, TiO 2 를 RuO 2 기판위에바로증착하는경우대비매우낮은수준으로확인된다. 이렇게 SnO 2 /RuO 2 기판에서의 Ru 면밀도변화량이낮지만그만큼 SnO 2 layer에서 Sn 원소가영향을받았을가능성을고려하여, TiO 2 증착전, 후의 Sn 면밀도변화역시측정하였 다. Figure 4. 12(b) 에서보는것처럼대부분의증착결과에서 TiO 2 증착전, 후 Sn의면밀도변화량은 0.5 g/cm 2 이하의미미한수준이었다. 이러한면밀도변화량의차이는각막질간의 formation energy 차이에기인하는현상이라할수있다. Table 4. 2에서확인되는바와같이 TiO 2 와 RuO 2 간에는 formation energy 차이가매우크기때문에 RuO 2 위에바로 TiO 2 를증착하는경우 TiO 2 가 RuO 2 의환원을촉진할것으로예상할수있다. 이로인하여 TiO 2 는기판으로부터 oxygen 을추가공급받아 Figure 4. 8과같은증착거동을나타내게되는것이고, RuO 2 는 Ru로환원후 etch되면서면밀도변화량이커지는결과를보이는것이다. 하지만 RuO 2 막질위에 SnO 2 가증착되는경우 formation energy 차이가상대적으로매우작기때문에증착과정에서 RuO 2 의환원이촉진되지는않았던것으로판단된다. SnO 2 를증착하는중에 RuO 2 가 Ru로환원되었으나 etch가되지않았기때문에 Ru 면밀도변화가미미했을것으로생각해볼수도있다, 하지만 SnO 2 의증착에는 TiO 2 증착과마찬가지로 O 3 를산화제로사용하였기때문에만약 RuO 2 가환원되었다면 Ru의 etch 발생및면밀도감소는동일하게발생했을것으로예상할수있다. 따라서면밀도변화가작은 52

67 점은 RuO 2 의환원발생이적었다는사실로받아들일수있다. 또한, SnO 2 와 TiO 2 간의 formation energy 차이역시 RuO 2 와 SnO 2 의차이와유사한정도임을미루어, 마찬가지로 SnO 2 위에 TiO 2 를증착시키는과정에서는환원이촉진되지않았던것으로판단된다. Figure 4. 4의 VSEM 분석결과와같이, 하부기판인 RuO 2 가환원되면서이로인한부피감소및응집현상이발생하여빈공간 (void) 이많아지는것도문제가크겠으나, 환원된 Ru의식각량이큰점은 RuO 2 막질을실제 DRAM capacitor의하부전극으로사용하기어렵게만든다. 그원인은다음과같이확인해볼수있다. 고유전율의 rutile 구조 TiO 2 를적용하기위해하부기판으로 RuO 2 를사용할경우 TiO 2 증착과정에서 2~5 g/cm 2 의 layer density loss가발생한다는점은 RuO 2 기판이적어도 4.5nm 이상 etch될것을감안해야한다는사실을반영하고있다 (Table 4. 3) [16]. 그런데 DRAM의최소선폭을 30nm로가정하더라도 capacitor의하부전극 은반드시 10nm 미만의두께를가져야만한다. [1] DRAM 최소선폭 30nm 및지름이완전히 3F를차지하는완전한원형단면의 capacitor로가정할경우 Figure 4. 13과같은단면이미지를예상할수있는데, 이때유전막의두께를 8nm로가정하더라도전극의두께는반드시 10nm 이하이어야한다는것을유도할수있다. 이것이 capacitor가차지하는면적을비현실적으로최대화시킨단순모델임을감안한다면, 실제차세대 DRAM capacitor(design rule 20nm이하 ) 에서는전극의두께를 10nm 이상으로높이기어려운상황이라할수 53

68 있다. 결국 10nm의 RuO 2 를하부전극으로적용한경우를가정하면, 적어도 4.5nm의 etch가발생하게되고 5.5nm정도의하부전극이 capacitor의특성을결정하게되는것이다. 하지만 Figure 및 Table 4. 4에서확인할수있는것처럼, RuO 2 막질이 10nm 이상충분히두껍지않으면상부에 TiO 2 증착시결정화가제대로진행되지않을수있다. Etch될점을감안하여하부전극두께를두껍게증착하여공정을시작한다고할지라도, 증착중 RuO 2 의 etch 정도에따라서소자마다하부전극의저항이달라지는문제가발생하여신뢰성에문제를야기할수있는소지가있다. 하지만 SnO 2 박막이포함된경우 SnO 2 막질두께를감안하여얇은 RuO 2 를적용하더라도, 유전막증착과정에 SnO 2 막질이 etch로소실되는등의영향을받는정도가매우적기때문에 TiO 2 유전막의결정화에문제가없는것으로확인되고또 한균질한하부전극을확보할수있을것으로예상된다. 특히 SnO 2 박막이 2nm 정도로얇아지더라도하부 RuO 2 의환원저항성은높이고, 상부유전막이 rutile 구조로성장가능한것이확인되었으므로, SnO 2 박막도입이충분히얇은하부전극사용을용이하게할수있다. 54

69 Figure AES depth profile of (a) TiO 2 on RuO 2 (b) TiO 2 on SnO 2 /RuO 2 55

70 Figure Previous experiment result of (a)aes depth profile for RuO 2 [13] (b)tof-meis depth profile for TiO 2 on RuO 2 [14] 56

71 Figure Layer density difference before and after deposition : (a) Ru layer density skew (b) Sn layer density skew 57

72 Table Standard formation energy at 600K [17] 58

73 Table RuO 2 thickness difference derived from Ru layer density difference [16] Figure Schematic of DRAM capacitor cross section assuming that it occupies all the 3Fx3F area 59

74 Figure GAXRD peaks of ATO on RuO 2 and on SnO 2 /RuO 2 which have low Ru layer density. Table Layer density of substrates for low layer density RuO 2 test 60

75 4.3 SnO 2 /RuO 2 기판위에증착된 TiO 2 의전기적특성확인 다양한방법을통하여 SnO 2 박막도입을통해 RuO 2 기판의환원저항성을높일수있다는것을검증하였다. 이실험의궁극적인목적은환원저항성이증진된기판위에 TiO 2 를증착하여 DRAM의 capacitor로써사용가능성을확인하고자하는것이었다. 따라서 SnO 2 /RuO 2 하부전극위에증착된 TiO 2 유전막의전기적특성을평가하여가능성과한계점을확인해보았다 SnO 2 /RuO 2 기판에서증착된 TiO 2 박막의 JV, CV 특성확인 전기적특성확인을위해 SnO 2 박막이각각 0nm, 2nm, 5nm 증착된 RuO 2 기판위에동시에 TiO 2 20nm를증착하였고, 상부 Pt 전극역시동시에증착진행하였다. 인가된 bias에따른누설전류밀도 (current density) 변화특성은 Figure 4. 15과같다. 상부전극에 negative bias를인가하는경우, SnO 2 박막이포함된기판에서증착한 TiO 2 막질 (TiO 2 /SnO 2 /RuO 2 ) 에서의누설전류는 RuO 2 기판바로위에증착한 TiO 2 막질 (TiO 2 /RuO 2 ) 의특성과유의차가없거나누설전류가더낮은특성을나타낸다. 특히, SnO 2 막질의두께가 2nm인경우대비 5nm로두꺼울때누설전류가낮아지는경향이더욱뚜렷해진다. 하지만상부전극에 positive bias를인가했을때, TiO 2 /SnO 2 /RuO 2 에서의누설전류특성은 0V부터인가되는 bias가커짐에 61

76 따라누설전류가급격히증가하였다. 약 1V 부터누설전류 0.1A/cm2 수준 으로포화 (saturation) 되는경향을보였으며, 0.8V 기준으로 TiO 2 /RuO 2 대비누설전류가 10 4 배정도로매우열화되었다. 즉, 하부전극이 RuO 2 에서 SnO 2 /RuO 2 이중막질로변경되면서전체적인누설전류특성이 diode 와같은정류특성을나타내게되었음을알수있었다. 인가된 bias에따른정전용량 (capacitance, Cap.) 변화및손실계수 (dissipation factor, DF) 변화특성역시확인하였다. 일반적으로 SnO 2 는산소결함 (oxygen deficiency) 에의해 n-type 반도체특성을나타내는것 으로알려져있다. [18] 사용된소자가 Figure 3. 7(b) 와같다는점과 SnO 2 의 n-type 반도체특성을고려할때정전용량특성이 MOS의특성과유사할것으로예상하였으나, Figure 4. 16에서확인되는것과같이측정결과는기존에잘알려져있는 MOS 특성과도다른거동을나타내었다. TiO 2 /SnO 2 /RuO 2 에서는전체적인정전용량수준자체가 TiO 2 /RuO 2 대비급격히감소한것으로확인되고, negative bias 영역에서일정한수준으로유지되던정전용량이 -0.5V~0.5V사이에서서히상승하다 0.5V~0.8V사이에서급감하는형태를나타내고있다. 이러한거동은손실계수의변화와연동하는것으로확인되는데, negative bias 영역에서는 SnO 2 가포함된시료의손실계수가상대적으로더낮은수준을보이다가 -0.5V~0.5V 구간에서상승하기시작하며, 0.5~0.8V 구간에서급격하게증가하는경향을보인다. 인가 bias에따른이러한정전용량및손실계수변화경향은 SnO 2 의두께가 5nm에서 2nm로줄어들어도변화의정도만줄어들뿐동일한거동을나타내는것으로확인되었다. 62

77 Figure 는 TiO 2 유전막의두께를변화시키면서동일하게 J-V (current density vs. applied bias) 특성및 C-V(capacitance density vs. applied bias) 특성을확인한결과이다. SnO 2 두께 5nm인 SnO 2 /RuO 2 기판위에 TiO 2 를각각 100cycle, 300cycle, 600cycle씩증착한후동시에 Pt 상부전극을형성하여평가하였다. Figure (a) 의결과와같이상부전극에 negative bias를인가하는경우기존의유전막평가결과와동일하게두께의존적인누설전류특성을나타내었다. 상부전극에 positive bias가인가되는경우유전막의두께에따라누설전류가포화되기시작하는 bias 수준은달랐으나, 포화될때의 누설전류값은 0.1A/cm 2 수준으로수렴하는것으로나타났다. 즉, 상부전 극 positive bias 인가시포화상태에서의누설전류값은유전막의두께와무관하게일정한수준을유지하고있었다. C-V 특성의경우 (b) 에보이는것처럼인가 bias가 -0.5V보다낮은상태에서는유전막의두께가감소할수록정전용량이증가하는일반적인경향을나타내었다. Figure 4. 16에서와같은 -0.5V~0.5V 사이에서정전용량이증가하는경향은모든두께의유전막에서동일하게확인되었으나, 그증가하는정도는두께가얇아질수록증가하는경향을보였다. 그리고두께에무관하게모든유전막에서 0.5V~0.8V사이에정전용량이급감하여가장낮은값에도달하였다. 63

78 Figure The current density vs. applied voltage (J-V) plot of the 20nm TiO 2 films on RuO 2 and SnO 2 /RuO 2 substrate. 64

79 Figure (a) The capacitance density vs. applied voltage (C-V) plot, and (b) the dissipation factor vs. applied voltage (DF-V) plot of the 20nm TiO 2 films on RuO 2 and SnO 2 /RuO 2 substrate. 65

80 Figure (a) J-V plot, (b) C-V plot of the TiO 2 films of various thicknesses deposited on 5nm SnO 2 /RuO 2 66

81 4.3.2 SnO 2 /RuO 2 기판에서의전기적특성원인분석 SnO 2 /RuO 2 기판위에증착된 TiO 2 의전기적특성이일반적인 capacitor 유전막과다른특이거동을보이는원인에대해고찰해보았다 SnO 2 박막의특성확인 우선양쪽기판에서증착한 TiO 2 막질에서의결합상태차이를확인하기위해 XPS 분석을진행하였고, peak 분리결과를 Figure 4. 18에나타내었다. Ru나 C의 peak intensity가미약했기때문에양쪽시료에서의 peak 위치비교가불가능한상황이었으므로, Figure (a) 에서와같이 Ti 2p peak을분리하여이위치를기준으로하여비교하였다. 그결과 (b) 에서확인되는것처럼양쪽시료의 O 1s peak의위치및 intensity에유의한차이가확인되지않았고, 따라서 SnO 2 박막의유무로인한 TiO 2 의결합상태에차이는없는것으로판단할수있었다. Figure (c) 는 TiO 2 on SnO 2 /RuO 2 시료에서의 SnO 2 peak 분리를진행하여 SnO 2 막질의특성을분석한결과이다. Sn 3d peak을분리진행한결과, Sn은매우미약하게포함된반면 Sn 4+ 가대부분의 portion을차지하고있으며약 15% 의 Sn 2+ 가포함된것으로확인되었다. 따라서본실험에서사용한 SnO 2 박막은일반적으로알려진 SnO 2 의특성과같이일부 oxygen vacancy에기인한 n-type 반도체특성을나타낼것으로판단되었다 [19]. 67

82 전기적특성에대한분석및이해를좀더용이하게하고자, 몇가지측 정을통하여실제사용한 SnO 2 막질의특성을추가로확인하였고, 그결 과를 Table 4. 5 에나타내었다. 4point probe 측정을통해비저항을확인 한결과 SnO 2 의비저항은 10-3 order 수준으로일반적인도체로써는비 저항이가장높지만, 반도체로써는비저항이가장낮은수준을나타내었다. 이러한점은 Hall measurement로측정한결과에서도확인되었는데, carrier 농도는 doping을진행한 Si이나 Ge과유사한수준이었다. XRR을통해측정한막질의밀도는이론적으로알려진것과유사한수준이었으며, Hall measurement에서확인되는 carrier의특성으로미루어 SnO 2 가 n- type이라는점도다시한번확인할수있었다. 이러한측정결과들을바탕으로 SnO 2 를 doping이진행된반도체로간주하여전기적특성을분석하기로하였다. 68

83 Figure The XPS spectra of (a)ti 2p peak, (b)o 1s peak of TiO 2 on RuO 2 and TiO 2 on SnO 2 /RuO 2. (c)sn 3d XPS spectra of TiO 2 on SnO 2 /RuO 2 69

84 Table Measured SnO 2 film characteristics 70

85 누설전류특성원인고찰 XPS 결과및 Hall measurement 결과로부터본실험에사용된 SnO 2 박막이 n-type 특성의반도체임을확인하였으므로, 이를바탕으로사용된구조의 energy band diagram을이용하여누설전류발생메커니즘을예상해보았다. TiO 2 on RuO 2 구조의경우 Figure (a) 와같이예상해볼수있다. 상부전극에 Pt를사용할경우 Pt의일함수 (workfunction) 가 RuO 2 의일함수보다다소크기때문에 Pt와 TiO 2 사이의에너지장벽 (energy barrier) 이 TiO 2 와 RuO 2 간의에너지장벽보다커지게된다. 따라서상부전극에 negative bias가인가되어전자가 Pt로부터 TiO 2 로유입되는경우가상부전극에 positive bias가인가되어 RuO 2 로부터 TiO 2 로전자가유입되는경우보다누설전류가더낮은결과를보이게된다. 이것이 Figure 4. 15의 TiO 2 on RuO 2 (SnO 2 0nm) 결과에서양방향누설전류수준이비대칭인원인이라할수있다. 하지만 TiO 2 on SnO 2 /RuO 2 의경우 Figure (b) 에서보는것처럼, SnO 2 가 TiO 2 와 RuO 2 사이의에너지장벽을완화시키는역할을하고있는것으로예상된다. 상부전극에 negative bias가인가되었을때는 Pt에서 TiO 2 로전자가유입될때의에너지장벽이 SnO 2 가없는경우와동일하지만, 유전막의두께는 TiO 2 의두께에전자가고갈 (depletion) 된 SnO 2 의두께까지더해지면서전자가통과하는경로가길어지는효과가발생하게된다. 따라서누설전류의수준이 TiO 2 on RuO 2 와비슷하거나, 인가된 bias 가커짐에따라 depletion 영역이넓어지면서누설전류가좀더낮아지는 71

86 결과를보이게된다. 반대로상부전극에 positive bias가인가되는경우 SnO 2 와 RuO 2 사이의에너지장벽높이자체가 TiO 2 와 RuO 2 보다낮아지게된다. 게다가앞서확인한것처럼 SnO 2 가반도체특성을가지고있기때문에 SnO 2 와 RuO 2 경계면사이에 Fermi level pinning 효과가발생하게된다. 이로인하여에너지장벽이얇아지면서 RuO 2 에서유입되는전자는낮은수준의에너지만으로도터널링을통해쉽게 SnO 2 로유입되게되고, SnO 2 로유입된전자는 SnO 2 와 TiO 2 경계면에서의낮은에너지장벽을쉽게뛰어넘게됨으로써누설전류가급격히늘어나는것으로해석할수있다. 또한, n-type의 SnO 2 에 negative bias가인가되어 electron이공급되는경우표면의공핍 site에전자가쉽게 trap되는것으로알려져있는데 [20], 이러한특성또한누설전류를증가시키는데기여하고있는것으로파악된다. 72

87 Figure Schematic energy band diagrams to illustrate J-V characteristics of (a) TiO 2 on RuO 2 (b) TiO 2 on SnO 2 /RuO 2 73

88 정전용량특성고찰 상부전극에 negative bias가인가되는경우의정전용량 (capacitance) 특성은 Figure (a) 의개략도와같이이해할수있다. 상부전극에 negative bias가인가되면 SnO 2 내부의 negative charge가 RuO 2 방향으로이동하면서 TiO 2 와의경계면쪽으로 SnO 2 의공핍층 (depletion layer) 이형성된다. 이공핍층으로인하여전체유전막의두께가증가하는효과가발생하게되고, 이로인하여 Figure (a) 의결과와같이 SnO 2 막질이있는경우정전용량이감소하게된다. 실제 SnO 2 의공핍층이유전막으로써기능했는지를확인하기위해 series capacitance를계산하여검증해보았다. TiO 2 가 RuO 2 위에바로증착된시료의정전용량측정값중상부전극에 -1.3V가인가된결과값과, 같은장비에서동일한조건으로증착된 TiO 2 막질에서유도하였던유전상수 [16], 증착된 TiO 2 의두께를이용하여 series capacitor 계산에필요한상수 ε 를우선유도하였다. at -1.3V,, ε, [16] ε ε 유도한 ε 값과 SnO 2 의유전상수 [21] 및 SnO 2 두께 2nm, 5nm 를이 용하여 SnO 2 박막이유전막으로기능했을경우의정전용량값을 series capacitor 계산식에단순대입하여계산하였다. 74

89 ε, ε, [21],, ε ε ε ε 계산된값과실제 SnO 2 2nm, 5nm 소자에서측정한값을비교한결과를 Table 4. 6에나타내었다. 증착된 TiO 2, SnO 2 막질의두께 variation 및계산에사용된유전상수가 bulk에서유도된점을감안할경우측정된값과계산된값이상당한유사성을지니는것으로판단되었다. 이를통해상부전극에 negative bias가인가되는경우 SnO 2 내부의공핍층이유전막으로기능하는것을확인할수있었으며, 이로인한유전막두께증가효과및 SnO 2 의낮은유전율이전체정전용량감소를야기시킨다는점을파악할수있었다. 상부전극에 positive bias가인가되는경우의정전용량특성은 Figure (b) 와같이생각해볼수있다. SnO 2 내부의 negative charge는 TiO 2 방향으로이동하게되고, RuO 2 와의경계면방향으로 SnO 2 의공핍층이형성되게된다. 따라서 TiO 2 와 SnO 2 공핍층사이에유전상수가좀더높은층이병렬로놓인상황이되어일정수준까지는 (~0.5V) 정전용량이증가하게된다. 하지만 SnO 2 는유전체로써기능할만큼충분히 insulating 하지못하고전자친화도 (electron affinity) 가높기때문에, 인가되는 bias 가증가함에따라누설전류가급격히증가하면서유전손실 (dielectric loss) 역시급격히증가하게된다. 75

90 이러한특성은 Figure (b) 의 dissipation factor 결과에서좀더명확하게드러난다. Total dissipation factor 값은 Figure 4. 21의회로도와 Table 4. 7에서보는항목들에의해결정된다. 상부전극에 positive bias가인가되면 SnO 2 의 RuO 2 경계면에서발생한공핍층은전극의저항 (R C ) 을높이는역할을하게되고, 이는유전손실 (D C ) 을높이게된다. 또한, 누설전류의증가는실질적으로병렬저항 (R L ) 을감소시키게되면서, 이에기인한유전손실 (D L ) 을증가시키게된다. 이러한요인들이전체유전손실 (D) 을증가시키게되면서, capacitor로써정상적인동작을수행하기어렵게한다. 76

91 . Figure Schematic diagrams to illustrate C-V characteristics under (a) V TE > 0, (b) V TE < 0 77

92 Table Lists of measured capacitance at -1.3V and series capacitance by theoretical calculation. 78

93 Figure Schematic circuit of actual capacitor loss Table Meaning of symbols and equation of total dissipation factor from actual capacitor loss circuit 79

94 4.4 DRAM capacitor 적용을위한전기적특성개선가능성 평가 SnO 2 박막의도입으로인하여하부전극인 RuO 2 의환원저항성을높일수있었으나, 전기적특성열화가발생한다는점을확인하였다. 그원인이전극으로써도입한 SnO 2 가본질적으로 n-type 성질을가지는반도체이기때문에인가되는전압의방향에따라서 charge의이동에기인한소자특성이변화되기때문이라는점을 energy band diagram 및 capacitor 회로를통해이해해볼수있었다. 이렇게확인된원인을바탕으로실제 DRAM capacitor에 SnO 2 박막을도입할수있도록전기적특성을개선할수있는방안들에대해고민해보았다 SnO 2 /RuO 2 전극 heat treatment 평가 상부전극에 positive bias를인가한경우누설전류가증가하는가장중요한원인이 SnO 2 막질의반도체성질때문이고, 그러한반도체의성질은 oxygen vacancy에기인하고있다. SnO 2 의 oxygen vacancy가감소하여유전막의성질을띄게되거나반대로 oxygen vacancy가증가하여 intrinsic carrier가증가하게된다면누설전류특성이개선될수있을것으로기대되었다. 따라서 oxygen vacancy 증가, 감소효과의발생가능성 을기대하며 TiO 2 를증착하기전 SnO 2 /RuO 2 전극표면을각각 H 2 및 O 2 분위기에서열처리진행해보았다. [22,23] 또한, TiO 2 를 SnO 2 /RuO 2 위에증 80

95 착한이후에 H 2 분위기에서열처리하여특성을확인하였다. 모든열처리는 RTA 장비를이용하여 400 C 에서 10 분간진행하였다. 상부전극증착후전기적특성을확인한결과는 Figure 4. 22와같았다. 먼저 TiO 2 증착전 H 2 환경에서 SnO 2 표면에열처리진행한결과, (a) 에서보는것과같이매우미약한정도의누설전류감소를확인할수있었지만 O 2 환경에서열처리진행한경우 1order 정도의개선점을확인할수있었다. H 2 환경에서열처리진행했을경우 SnO 2 의표면에좀더많은 oxygen vacancy가생성되어 SnO 2 의 Fermi level이 conduction band와좀더가까워지면서 TiO 2 방향으로의 barrier가높아짐으로써누설전류를개선시키게되고, O 2 환경에서열처리한경우 SnO 2 의 oxygen vacancy 감소효과로인하여 Fermi level이 conduction band와좀더멀어지면서 RuO 2 에서부터의 barrier가높아진효과로인하여누설전류를개선시킨것으로판단된다 (Figure 4. 24). 이러한점은 Figure 4.22 (b) 의결과에서 capacitance의차이로도확인되는데, 상부전극에 negative bias가인가되었을때 H 2 환경에서열처리한경우 SnO 2 내부의 carrier 농도가높아지면서 SnO 2 의공핍층넓이가줄어든효과를보이게되지만, O 2 환경에서열처리한경우 SnO 2 내부의 carrier 농도가줄어들면서 SnO 2 의공핍층넓이가늘어나게된것으로파악된다. 하지만누설전류개선정도가다른점으로미루어동일한열처리시간동안 SnO 2 표면에서의 oxygen vacancy 농도변화정도가사용 gas에따라다른것으로예상된다. 81

96 Figure 4.23 은 TiO 2 를 SnO 2 /RuO 2 전극위에증착한이후 H 2 환경에 서열처리유무에따른누설전류변화를확인한결과이다. TiO 2 증착전 열처리했던결과와달리증착후열처리한경우낮은전압이인가될때부 터누설전류가 1order가량감소한결과를보이고있다. TiO 2 증착후 H 2 환경에서열처리하게되면 TiO 2 와 SnO 2 의계면에서상대적으로 formation energy가낮은 SnO 2 로부터 TiO 2 로 oxygen이공급될것으로예상된다. 따라서계면근방에서의 TiO 2 는 conduction band와 Fermi level이멀어지게되고, SnO 2 는가까워지게되어 SnO 2 표면에서만열처리한경우대비 barrier가더높아지는효과가발생하면서누설전류개선효과가좀더커지게된것이다 (Figure (d)). 이것은실제 DRAM 소자에적용했을경우후속공정영향성에대한평가라고도생각해볼수있다. 실제다양한 H2 gas가소자내부로침투하여영향을미치게되는데, 이러한경우소자의열화를야기하는경우가많다. 하지만 SnO 2 박막이포함된 capacitor 소자에서는오히려개선되는결과를볼수도있음을확인할수있었다. 82

97 Figure Results of heat treatment on SnO 2 under gas ambient before TiO 2 deposition, (a) J-V characteristics with TE positive bias, (b) C-V characteristics. 83

98 Figure Results of heat treatment under H 2 gas ambient after TiO 2 deposition on SnO 2 /RuO 2, 84

99 Figure Schematic band diagram of (a) no treatment, (b) O 2 treatment on SnO 2, (c) H 2 treatment on SnO 2, and (d) H 2 treatment on TiO 2. 85

100 4.4.2 Al doping 된 TiO 2 유전막적용평가 Rutile 구조의 TiO 2 가유전율이높은반면 small band gap 으로인하여 상대적으로누설전류특성이취약하기때문에, 누설전류특성을개선하 기위한방법으로써 Al doped TiO 2 (ATO) 의연구가진행되어왔다 [24] 이 러한점에착안하여 SnO 2 /RuO 2 전극위에 ATO를증착할경우의특성을확인하여개선점이있는지를확인해보았다. 앞서전기적특성을확인했던 20nm target의 TiO 2 와동일한 cycle 수 (600cycle) 만큼의 ATO를 RuO 2 와 SnO 2 /RuO 2 전극에동시에증착하여, 4개의시료에대한전기적특성비교를진행하였고그결과를 Figure 4. 25에나타내었다. (a) 의결과는기존 TiO 2 의결과로, SnO 2 /RuO 2 전극에서증착한경우가 RuO 2 전극에서증착한경우대비 0.8V 기준 4order 이상누설전류가증가했던것을알수있다. 하지만 ATO를유전막으로사용하는경우 (b) 에서보는것과같이 0.8V 기준 1order 증가, 1.0V 기준 2order 증가하는결과를확인할수있었다. SnO 2 /RuO 2 기판위에증착된 TiO 2 와 ATO만을서로비교할경우 ATO 적용으로 2order 이상누설전류개선효과를얻을수있는결과이다. 정전용량의경우 ATO가 TiO 2 대비감소하는경향은 RuO 2 전극에서증착하는경우와동일하지만, DF 값이급격히개선된영향으로정전용량의변동폭이줄어든점을확인할수있다. ATO 를적용할경우누설전류가줄어드는원인은 oxygen vacancy 에 기인하여 n-type 특성을나타내는 TiO 2 에 doping 된 Al 이 acceptor 역할 86

101 을하게되면서 conduction band 방향으로치우쳐진 TiO 2 의 Fermi level 을 band gap 중심방향으로이동시키는역할을하기때문이다 [9]. 즉, TiO 2 의 Fermi level과 conduction band간의 gap이커지면서전극과의경계면에서의 energy barrier가커지기때문에누설전류가줄어들게되는것인데, TiO 2 자체의전기적성질이변화되는것이기때문에 SnO 2 /RuO 2 전극을사용하더라도동일하게 barrier가높아지는결과를양산하게되는것이다. Figure (b) 의 V TE >0 그림에서 SnO 2 에서 TiO 2 방향으로의전자유입시 barrier가높아진결과이므로이 barrier의변화정도, 즉 Al doping 정도에따라서 TiO 2 on RuO 2 와유사한수준의누설전류확보도가능할것으로판단된다. 하지만 ATO를적용할경우에도상부전극에 negative가인가되면 SnO 2 의공핍층으로인하여유전막으로기능하는점은동일하므로정전용량이줄어드는현상은 TiO 2 와마찬가지이며, 동일한두께의 TiO 2 대비 ATO의유전상수가줄어들면서정전용량에추가손실이발생하게된다. 유전막에 Al을 doping하는것은누설전류관점에서는효율적이지만, 정전용량이줄어드는결과를확인하였다. Al doping 농도의 optimal한조정을통하여누설전류는 TiO 2 on RuO 2 수준까지확보가능할것으로판단되며, SnO 2 의두께를좀더얇게하는방식으로유전막의역할을하게되는 SnO 2 의공핍층두께를줄임으로써정전용량을개선시킬수있을것으로예상된다. 87

102 Figure J-V plot of (a) TiO 2 on RuO 2 and on SnO 2 /RuO 2, (b) Al doped TiO 2 (ATO) on RuO 2 and SnO 2 /RuO 2. Comparison (c) C-V, (d) DF characteristics of TiO 2 and ATO on SnO 2 /RuO 2. 88

103 4.4.3 SnO 2 박막 doping 평가 앞서확인한것처럼 SnO 2 는반도체성질을가지고있기때문에일반적 으로 SnO 2 를전자재료로사용할때에는 Sb, F, In 2 O 3, ZnO 등을 doping 하 여전도도 (conductivity) 를충분히높여서사용한다. TiO 2 가 SnO 2 /RuO 2 위에증착되었을때 SnO 2 의전도도가충분히높아서 metallic한성질을띄게된다면, 누설전류문제및정전용량저하문제까지개선이가능할것으로기대되었다. 따라서 SnO 2 에 Ta을 doping하는평가를진행하였다. 5nm target, 30cycle로증착된 SnO 2 에 ALD 방식으로 Ta 2 O 5 3cycle을증착한후 furnace에서 400 C로 30분간열처리하여막질내부로확산시키는방법으로 doping을진행하였다. 다른연구그룹에서충분히두꺼운 SnO 2 에 Ta을 doping하여 bulk 상태에서의 conductivity를평가했던이전연구결과에서는 3.75% Ta doping 시전도도향상이가장좋았던결과를보고하였다 [25], 하지만본연구에서는 SnO 2 가 30cycle밖에증착되지않은상태이므로최적비율을맞출수없었기때문에 cycle 수기준 10% doping 진행하여개선가능성만을확인하기로하였다. RuO 2, SnO 2 /RuO 2, Ta doped SnO 2 /RuO 2 세기판위에동시에 TiO 2 600cycle을증착하고상부전극을형성하여측정하였다. 우선 Ta가제대로확산되지않고 SnO 2 위에 Ta 2 O 5 가증착된형태그대로남아있을경우상부에 TiO 2 가제대로 rutile 구조로성장하지않았을수있으므로, TiO 2 증착후 XRD로결정성을확인하였다. 그결과 Figure 4. 26의결과에서처럼 RuO 2 기판위에 TiO 2 를증착한경우와동일한 89

104 rutile peak을확인할수있었다. 전기적특성확인결과 Figure 4. 27(a) 의 J-V 결과에서와같이 positive bias의 high voltage 영역에서 1order이상누설전류감소가확인되었다. 하지만 positive bias 영역에서의누설전류급증현상은여전하였고, Figure (b) 와같이 SnO 2 /RuO 2 기판에서보다정전용량은오히려감소하는결과도확인되었다. 미약하게나마누설전류가감소하였으나정전용량역시감소한것은전도도를높이기에적정한수준이상 Ta doping이진행되었기때문에충분히 SnO 2 의전도도가높아지지못한점과, Ta이 SnO 2 막질중간이아닌막질표면에 doping이진행되면서막질내부로충분히확산되지못한점에서그원인을찾을수있겠다. Doping으로인하여미약하게나마전도도가향상된점은 SnO 2 의 conduction band를좀더 Fermi level쪽으로끌어내리게됨으로써 SnO 2 와 TiO 2 사이의 barrier를높여주었기때문에누설전류가일정부분감소한것으로예상된다. 하지만, doping된 Ta이 SnO 2 전체로충분히확산되지못하고, TiO 2 와의경계면근처에만머물게된다면 capacitor 관점에서여전히 Figure (b) 의상태로 RuO 2 방향의 SnO 2 막질에서공핍층이형성될수밖에없는상황이된다. 따라서정전용량은여전히개선된결과를확인하지못하게되었다. 이결과를통해실제 doping을통한 SnO 2 의 conductivity 향상이누설전류를감소시킬수있다는점이확인되었다. 따라서최적화된 doping 농도의 dopant를 SnO 2 막질내부로충분히확산시킨다면누설전류감소효과및정전용량개선까지도가능할것이예상되었다. 90

105 Figure GAXRD peaks of TiO 2 on Ta doped SnO 2 /RuO 2. 91

106 Figure Evaluation of TiO 2 on Ta doped SnO 2 /RuO 2 substrate (a) J-V plot, (b) C-V plot, (c) DF-V plot. 92

107 5. 결론 이논문은차세대 DRAM capacitor에유전막으로사용될수있는 Rutile 구조의 TiO 2 와기판으로사용되는 RuO 2 사이에 SnO 2 박막을도입하는것에관한연구결과이다. TiO 2 의결정상중에서도유전상수가높은 rutile 구조의 TiO 2 는 RuO 2 기판위에서 epitaxial한방법으로증착이가능한데, RuO 2 기판이쉽게 Ru로환원되는이슈가있었다. 이에 RuO 2 보다 formation energy가크고 TiO 2 나 RuO 2 와동일한 rutile 구조를가지는 SnO 2 박막을도입하여다양한방식으로평가를진행하였다. 가장먼저 SnO 2 를 RuO 2 위에증착한경우 RuO 2 의환원을억제하는가에대해서환원 gas 분위기에서열적스트레스평가를진행하였다. 그결과 RuO 2 단일막질대비 SnO 2 박막이있는경우 RuO 2 의환원이좀더지연되는효과를확인하였고, 실제 VSEM 표면검사를통해서환원된 RuO 2 의표면이심하게일그러지는반면 SnO 2 박막이있는경우표면변화역시억제되고있다는것까지알수있었다. 본격적으로 TiO 2 를증착시키면서증착과정에서, 그리고증착이후의변화에대해서도검증을진행하였다. SnO 2 박막도입으로인하여 TiO 2 증착초기의 CVD 반응이감소한것이확인되었고, TiO 2 가증착된이후의열적스트레스환경에서도 RuO 2 의환원에대한내성이강화된결과를볼수있었다. 박막내의원소비율변화가주목할만했는데, 특히 TiO 2 증착전, 후의 Ru 면밀도변화결과로부터 DRAM capacitor의하부전극으로 RuO 2 를사용하여 TiO 2 를증착하기위해서는반드시 RuO 2 의환원저항성을높여야하는당위성을확인할수있었고, SnO 2 박막이효과적으로그역 93

108 할을하고있다는결과를도출하였다. TiO 2 /SnO 2 /RuO 2 막질위에상부전극을올리고전기적특성을검증하였다. 캐패시터가가져야하는전기적특성에서많이벗어난거동을보였는데, 누설전류는다이오드와같은거동을보이고있었고캐패시터역시이상거동을나타내고있었다. 이러한현상은도입된 SnO 2 박막의성질에대한분석을통해원인을파악할수있었다. 도입된 SnO 2 박막은완전히유전체의성질을띄거나완전히전도체의성질을띄지않고 doping이진행된반도체와같은성질을띄고있는것으로확인되었다. 이는하부전극으로부터전자가유입되는조건에서는 SnO 2 의 Fermi level pinning 효과가발생하면서 SnO 2 가전자의유입을용이하게만들고있음을알수있었다. 또한상부전극에서전자가유입되는조건에서는 SnO 2 가 TiO 2 와의경계면방향으로 depletion layer를형성하면서유전막의두께를높이는효과를발생시키는것도확인이되었다. 따라서 SnO 2 막질의비저항을낮추거나, energy band 관점에서의 engineering이필요한것으로판단되어관련된평가들을진행하였다. 그결과표면열처리나 TiO 2 유전막에의 Al doping, 그리고 SnO 2 박막에의 Ta doping을통하여일정수준전기적특성이개선되는결과를확인할수있었다. 이연구를통해 SnO2 도입으로하부기판인 RuO2의열화를방지하는효과를얻을수는있었으나, DRAM capacitor로서의동작특성을완전히확보하지는못했다. 하지만, 전기적특성의열화원인을분석하고그개선가능성에대해서는확인할수있었다. 개선방법들이여러평가들을통해조건을잘잡아서수행한결과가아니므로, 최적의조건을확보한다면하부기판열화를억제하면서도좋은특성을확보할수있을것으로기대해 94

109 볼수있다. 또한, rutile 구조를지니는다양한 metal dioxide 후보군들중 conductivity 가높고 formation energy 가적절한물질에대한연구의여 지도남길수있었다. 95

110 Reference 1 Park, J. et al. in Electron Devices Meeting (IEDM), 2015 IEEE International (IEEE). 2 George, S. M. Atomic layer deposition: an overview. Chemical reviews 110, (2009). 3 Puurunen, R. L. Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. Journal of applied physics 97, 9 (2005). 4 Miikkulainen, V., Leskelä, M., Ritala, M. & Puurunen, R. L. Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends. Journal of Applied Physics 113, 2 (2013). 5 Wilk, G. D., Wallace, R. M. & Anthony, J. High-κ gate dielectrics: Current status and materials properties considerations. Journal of applied physics 89, (2001). 6 Kim, S. K., Kim, W.-D., Kim, K.-M., Hwang, C. S. & Jeong, J. High dielectric constant TiO2 thin films on a Ru electrode grown at 250 C by atomic-layer deposition. Applied Physics Letters 85, (2004). 7 Mikami, M., Nakamura, S., Kitao, O. & Arakawa, H. Lattice dynamics and dielectric properties of TiO 2 anatase: a first- 96

111 principles study. Physical Review B 66, (2002). 8 Diebold, U. The surface science of titanium dioxide. Surface science reports 48, (2003). 9 Kim, S. K. et al. Capacitors with an equivalent oxide thickness of< 0.5 nm for nanoscale electronic semiconductor memory. Advanced Functional Materials 20, (2010). 10 Wang, H., Xu, S. & Gordon, R. G. Low temperature epitaxial growth of high permittivity rutile TiO2 on SnO2. Electrochemical and Solid-State Letters 13, G75-G78 (2010). 11 Jeon, W. et al. Controlling the Al-doping profile and accompanying electrical properties of rutile-phased TiO2 thin films. ACS applied materials & interfaces 6, (2014). 12 Lee, S. W. et al. Role of Interfacial Reaction in Atomic Layer Deposition of TiO2Thin Films Using Ti(O-iPr)2(tmhd)2on Ru or RuO2Substrates. Chemistry of Materials 23, , doi: /cm (2011). 13 Han, J. H. et al. Study on initial growth behavior of Ruo2 film grown by pulsed chemical vapor deposition: Effects of substrate and reactant feeding time. Chemistry of Materials 24, (2012). 14 Jeon, W. et al. Chemistry of active oxygen in RuO x and its influence on the atomic layer deposition of TiO 2 films. Journal 97

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

법학박사학위논문 실손의료보험연구 2018 년 8 월 서울대학교대학원 법과대학보험법전공 박성민

법학박사학위논문 실손의료보험연구 2018 년 8 월 서울대학교대학원 법과대학보험법전공 박성민 저작자표시 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 귀하는, 이저작물의재이용이나배포의경우, 이저작물에적용된이용허락조건을명확하게나타내어야합니다.

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

행정학석사학위논문 공공기관기관장의전문성이 조직의성과에미치는영향 년 월 서울대학교행정대학원 행정학과행정학전공 유진아

행정학석사학위논문 공공기관기관장의전문성이 조직의성과에미치는영향 년 월 서울대학교행정대학원 행정학과행정학전공 유진아 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

문학석사학위논문 존밀링턴싱과이효석의 세계주의비교 로컬 을중심으로 년 월 서울대학교대학원 협동과정비교문학 이유경

문학석사학위논문 존밀링턴싱과이효석의 세계주의비교 로컬 을중심으로 년 월 서울대학교대학원 협동과정비교문학 이유경 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니

저작자표시 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니 저작자표시 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 귀하는, 이저작물의재이용이나배포의경우, 이저작물에적용된이용허락조건을명확하게나타내어야합니다.

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원

저작자표시 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원 저작자표시 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 동일조건변경허락. 귀하가이저작물을개작, 변형또는가공했을경우에는, 이저작물과동일한이용허락조건하에서만배포할수있습니다.

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

Precipitation prediction of numerical analysis for Mg-Al alloys

Precipitation prediction of numerical analysis for Mg-Al alloys 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

경영학석사학위논문 투자발전경로이론의가설검증 - 한국사례의패널데이타분석 년 8 월 서울대학교대학원 경영학과국제경영학전공 김주형

경영학석사학위논문 투자발전경로이론의가설검증 - 한국사례의패널데이타분석 년 8 월 서울대학교대학원 경영학과국제경영학전공 김주형 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우, 이저작물에적용된이용허락조건을명확하게나타내어야합니다.

More information

i

i 저작자표시 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 귀하는, 이저작물의재이용이나배포의경우, 이저작물에적용된이용허락조건을명확하게나타내어야합니다.

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물

저작자표시 - 비영리 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물 저작자표시 - 비영리 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 귀하는, 이저작물의재이용이나배포의경우, 이저작물에적용된이용허락조건을명확하게나타내어야합니다.

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

농학석사학위논문 폴리페닐렌설파이드복합재료의기계적및열적 특성에영향을미치는유리섬유 환원된 그래핀옥사이드복합보강재에관한연구 The combined effect of glass fiber/reduced graphene oxide reinforcement on the mecha

농학석사학위논문 폴리페닐렌설파이드복합재료의기계적및열적 특성에영향을미치는유리섬유 환원된 그래핀옥사이드복합보강재에관한연구 The combined effect of glass fiber/reduced graphene oxide reinforcement on the mecha 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비

저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비 저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 동일조건변경허락. 귀하가이저작물을개작, 변형또는가공했을경우에는,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비

저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비 저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 동일조건변경허락. 귀하가이저작물을개작, 변형또는가공했을경우에는,

More information

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

행정학박사학위논문 목표모호성과조직행태 - 조직몰입, 직무만족, 공직봉사동기에미치는 영향을중심으로 - 년 월 서울대학교대학원 행정학과행정학전공 송성화

행정학박사학위논문 목표모호성과조직행태 - 조직몰입, 직무만족, 공직봉사동기에미치는 영향을중심으로 - 년 월 서울대학교대학원 행정학과행정학전공 송성화 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 변경금지. 귀

저작자표시 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 변경금지. 귀 저작자표시 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우, 이저작물에적용된이용허락조건을명확하게나타내어야합니다.

More information

저작자표시 - 비영리 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 동일조건변경허락. 귀하가이저작물을개작, 변형또는가공했을경우에는,

More information

歯김유성.PDF

歯김유성.PDF BIT/ST/LSCO/MgO Variations of Microstructures and Electrical Properties of BIT/ST/LSCO/MgO Epitaxial Films by Annealing 2003 2 BIT/ST/LSCO/MgO Variations of Microstructures and Electrical Properties of

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

교육학석사학위논문 윤리적입장에따른학교상담자의 비밀보장예외판단차이분석 년 월 서울대학교대학원 교육학과교육상담전공 구승영

교육학석사학위논문 윤리적입장에따른학교상담자의 비밀보장예외판단차이분석 년 월 서울대학교대학원 교육학과교육상담전공 구승영 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

untitled

untitled Synthesis and structural analysis of nano-semiconductor material 2005 2 Synthesis and structural analysis of nano-semiconductor material 2005 2 . 2005 2 (1) MOCVD ZnO (2) MOCVD gallium oxide < gallium

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

Chap3.SiliconOxidation.hwp

Chap3.SiliconOxidation.hwp 반도체공정 Chap3. Silicon Oxidation 1 Chap. 3. Silicon Oxidation 주요내용 : - silicon dioxide(sio2) 를형성하기위한산화공정 - 산화공정과정의불순물의재분포현상 - SiO2 file의특성과두께측정방법 Why silicon in modern integrated circuit? Ge : 1950년대주로사용

More information

한국전지학회 춘계학술대회 Contents 기조강연 LI GU 06 초강연 김동욱 09 안재평 10 정창훈 11 이규태 12 문준영 13 한병찬 14 최원창 15 박철호 16 안동준 17 최남순 18 김일태 19 포스터 강준섭 23 윤영준 24 도수정 25 강준희 26

한국전지학회 춘계학술대회 Contents 기조강연 LI GU 06 초강연 김동욱 09 안재평 10 정창훈 11 이규태 12 문준영 13 한병찬 14 최원창 15 박철호 16 안동준 17 최남순 18 김일태 19 포스터 강준섭 23 윤영준 24 도수정 25 강준희 26 2015 한국전지학회 춘계학술대회 2일차 한국전지학회 춘계 학술대회(신소재 및 시장동향 관련 주제 발표) 시간 제목 비고 세션 1 차세대 이차전지용 in-situ 분석기술 좌장 : 윤성훈 09:00~09:30 Real-time & Quantitative Analysis of Li-air Battery Materials by In-situ DEMS 김동욱(한국화학연구원)

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종

[ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : ~ 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종 [ 화학 ] 과학고 R&E 결과보고서 나노입자의표면증강을이용한 태양전지의효율증가 연구기간 : 2013. 3 ~ 2013. 12 연구책임자 : 김주래 ( 서울과학고물리화학과 ) 지도교사 : 참여학생 : 원승환 ( 서울과학고 2학년 ) 이윤재 ( 서울과학고 2학년 ) 임종찬 ( 서울과학고 2학년 ) 소재원 ( 서울과학고 2학년 ) 1,.,.,.... surface

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

< B9B0C3B7BCBCB0E82E687770>

< B9B0C3B7BCBCB0E82E687770> 최첨단반도체에서의 ALD 증착기술 DOI: 10.3938/PhiT.21.006 황철주 ALD (Atomic Layer Deposition) Process Technology in the Semiconductor Industry Chul Joo HWANG New and improved semiconductor technology will open a new generation

More information

nano(편집) hwp

nano(편집) hwp Regular Paper J. Korean Inst. Electr. Electron. Mater. Eng. Vol. 28, No. 10, pp. 652-657 October 2015 DOI: http://dx.doi.org/10.4313/jkem.2015.28.10.652 ISSN 1226-7945 (Print), 2288-3258 (Online) 충남대학교에너지과학기술대학원에너지과학기술학과

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

00....

00.... Fig. 1 2.5%. 51.5%, 46.0%,.. /, Table 1 (U.V.; Ultraviolet 10-400 nm)/ (NIR; Near Infrared 700 nm - 5 µm) ( TiO 2, WO 3, ZnO, CeO, ATO, Sb 2O 3-ZnO, ITO.) (400 nm - 780 nm). /. Fig. 1.. 23 Table 1. / /

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

슬라이드 1

슬라이드 1 GaN 기판제작공정 시스넥스기술연구소 박기연 내 용 1. 시스넥스및 HVPE 장비소개 2.GaN 기판제작개요 3. GaN Epi 공정 (HVPE 방법 ) 4. GaN LLO 공정 5. GaN polishing 공정 시스넥스소개 (4-1) 회사연혁및사업분야 2000. 05 회사설립 2001. 05 6x2 GaN MOCVD 개발 ( 국내및중국납품 ) 2004.

More information

치의학석사학위논문 치의학대학원학생의장애환자에 대한인식조사 년 월 서울대학교치의학대학원 치의학과 박상억

치의학석사학위논문 치의학대학원학생의장애환자에 대한인식조사 년 월 서울대학교치의학대학원 치의학과 박상억 저작자표시 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 동일조건변경허락. 귀하가이저작물을개작, 변형또는가공했을경우에는, 이저작물과동일한이용허락조건하에서만배포할수있습니다.

More information

2001/1학기 공학 물리 중간고사

2001/1학기 공학 물리 중간고사 2011/2 학기물리전자기말고사담당교수 : 김삼동 성명 학번 분반 e = 1.6 10-19 C, ε ox = 3.9, ε Si = 11.7,ε o = 8.85 10-14 F/cm 2, kt (300 K) = 0.0259 ev,, n i (Si, 300 K) =1.5x10 10 /cm 3 1. PN diode의 I-V 특성은아래의그림과같은거동을보인 (I) 다.

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

행정학석사학위논문 외국인주민생활만족도의 영향요인연구 년 월 서울대학교대학원 행정학과행정학전공 최은영

행정학석사학위논문 외국인주민생활만족도의 영향요인연구 년 월 서울대학교대학원 행정학과행정학전공 최은영 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 동일조건변경허락. 귀하가이저작물을개작, 변형또는가공했을경우에는, 이저작물과동일한이용허락조건하에서만배포할수있습니다.

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

- i - - ii - - i - - ii - - i - - ii - - iii - - iv - - v - - vi - - vii - - viii - - ix - - x - - xi - - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 -

More information

INDUS-8.HWP

INDUS-8.HWP i iii iv v vi vii viii ix x xi 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64

More information

CONTENTS.HWP

CONTENTS.HWP i ii iii iv v vi vii viii ix x xi - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - - 18 - - 19 - - 20 - - 21 - - 22 - - 23 - - 24 - - 25 -

More information

Introduction Capillarity( ) (flow ceased) Capillary effect ( ) surface and colloid science, coalescence process,

Introduction Capillarity( ) (flow ceased) Capillary effect ( ) surface and colloid science, coalescence process, Introduction Capillarity( ) (flow ceased) Capillary effect ( ) surface and colloid science, coalescence process, Introduction Capillary forces in practical situation Capillary Model A Capillary Model system,

More information

저작자표시 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원

저작자표시 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원 저작자표시 - 동일조건변경허락 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 동일조건변경허락. 귀하가이저작물을개작, 변형또는가공했을경우에는, 이저작물과동일한이용허락조건하에서만배포할수있습니다.

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드]

Microsoft PowerPoint - dev6_TCAD.ppt [호환 모드] TCAD: SUPREM, PISCES 김영석 충북대학교전자정보대학 2012.9.1 Email: kimys@cbu.ac.kr k 전자정보대학김영석 1 TCAD TCAD(Technology Computer Aided Design, Technology CAD) Electronic design automation Process CAD Models process steps

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

Analyses the Contents of Points per a Game and the Difference among Weight Categories after the Revision of Greco-Roman Style Wrestling Rules Han-bong

Analyses the Contents of Points per a Game and the Difference among Weight Categories after the Revision of Greco-Roman Style Wrestling Rules Han-bong Analyses the Contents of Points per a Game and the Difference among Weight Categories after the Revision of Greco-Roman Style Wrestling Rules Han-bong An 1 & Kyoo-jeong Choi 2 * 1 Korea National Wrestling

More information

Introductiuon

Introductiuon 대면적및고속증착을위한원통형타겟타입 pulsed dc magnetron sputtering 에서두께변화에따른 AZO 박막의 특성변화 신범기 a, 이태일 a, 박강일 b, 안경준 b, 명재민 a 연세대학교신소재공학부, SNTEK Thickness dependence of Al-doped ZnO film properties prepared by using the

More information

유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012

유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012 11-1480523-001163-01 유해중금속안정동위원소의 분석정밀 / 정확도향상연구 (I) 환경기반연구부환경측정분석센터,,,,,,,, 2012 목 차 ⅰ ⅲ ⅳ Abstract ⅵ Ⅰ Ⅱ Ⅲ i 목 차 Ⅳ ii 목 차 iii 목 차 iv 목 차 v Abstract vi Abstract σ ε vii Abstract viii Ⅰ. 서론 Ⅰ. 1 Ⅰ. 서론.

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제 분 기 보 고 서 (제 18 기) 사업연도 2012년 01월 01일 2012년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2012 년 5 월 15 일 회 사 명 : 주성엔지니어링(주) 대 표 이 사 : 황 철 주 본 점 소 재 지 : 경기도 광주시 오포읍 능평리 49 (전 화) 031-760-7000 (홈페이지) http://www.jseng.com

More information

- 2 -

- 2 - - 1 - - 2 - 전기자동차충전기기술기준 ( 안 ) - 3 - 1 3 1-1 3 1-2 (AC) 26 1-3 (DC) 31 2 37 3 40-4 - 1 14, 10,, 2 3. 1-1 1. (scope) 600 V (IEC 60038) 500 V. (EV : Electric Vehicle) (PHEV : Plug-in Hybrid EV).. 2. (normative

More information

구리 전해도금 후 열처리에 따른 미세구조의 변화와 관련된 Electromigration 신뢰성에 관한 연구

구리 전해도금 후 열처리에 따른 미세구조의 변화와 관련된 Electromigration 신뢰성에 관한 연구 工學碩士學位論文 Electromigration-resistance related microstructural change with rapid thermal annealing of electroplated copper films 2005 年 2 月 仁荷大學校大學院 金屬工學科 朴賢皒 - 1 - 工學碩士學位論文 Electromigration-resistance related

More information

슬라이드 제목 없음

슬라이드 제목 없음 물리화학 1 문제풀이 130403 김대형교수님 Chapter 1 Exercise (#1) A sample of 255 mg of neon occupies 3.00 dm 3 at 122K. Use the perfect gas law to calculate the pressure of the gas. Solution 1) The perfect gas law p

More information

CONTENTS C U B A I C U B A 8 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII Part IX 9 C U B A 10 Part I Part II Part III Part IV Part V Part VI Part VII Part VIII Part IX 11 C U B

More information

PowerPoint Presentation

PowerPoint Presentation Test 1. 일반적인측정용어 1.1 면저항 1.2 누설전류 1.3 파괴시험 1.4 Resolution 2. 산화막평가 2.1 확산층평가 2.2 산화막중의전하 2.3 절연파괴강도 2.4 장기신뢰성평가 3. Photo-etch 검사측정기술 3.1 검사측정기술 3.2 Selectivity, Etch-rate, Anisotropy 4. 박막평가 4.1 관련용어 a.

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

세계 비지니스 정보

세계 비지니스 정보 - i - ii - iii - iv - v - vi - vii - viii - ix - 1 - 2 - 3 - - - - - - - - - - 4 - - - - - - 5 - - - - - - - - - - - 6 - - - - - - - - - 7 - - - - 8 - 9 - 10 - - - - - - - - - - - - 11 - - - 12 - 13 -

More information

00-1표지

00-1표지 summary _I II_ summary _III 1 1 2 2 5 5 5 8 10 12 13 14 18 24 28 29 29 33 41 45 45 45 45 47 IV_ contents 48 48 48 49 50 51 52 55 60 60 61 62 63 63 64 64 65 65 65 69 69 69 74 76 76 77 78 _V 78 79 79 81

More information

저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

(Vacuum) Vacuum)? `Vacua` (1 ) Gas molecular/cm 3

(Vacuum) Vacuum)? `Vacua` (1 ) Gas molecular/cm 3 (Vacuum) Vacuum)? `Vacua` (1 ) Gas.5.5 10 19 molecular/cm 3 & Medium high vacuum High vacuum Very high vacuum 760 5 1 10-3 10-6 10-7 10-9 Low vacuum Medium vacuum High vacuum Very high vacuum Ultra-high

More information

저작자표시 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니

저작자표시 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니 저작자표시 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 이차적저작물을작성할수있습니다. 이저작물을영리목적으로이용할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 귀하는, 이저작물의재이용이나배포의경우, 이저작물에적용된이용허락조건을명확하게나타내어야합니다.

More information