Microsoft Word - KVME-316A SW 사용자 메뉴얼

Size: px
Start display at page:

Download "Microsoft Word - KVME-316A SW 사용자 메뉴얼"

Transcription

1 LKV-316A SW 사용자메뉴얼 Board Rev. 1.2 BSP Version 년 03 월 04 일

2 알 림 여기에실린내용은제품의성능향상과신뢰도의증대를위하여예고없이변경될수도있습니다. 여기에실린내용의일부라도엘케이일레븐의사전허락없이어떠한유형의매체에복사되거나저장될수없으며전기적, 기계적, 광학적, 화학적인어떤방법으로도전송될수없습니다. 엘케이일레븐경기도성남시중원구상대원동 SKn 테크노파크메가동 1306 호 LKV-316A 은 엘케이일레븐의등록상표입니다 /27

3 차례 알림 INTRODUCTION LKV-316A 보드의소개 본문서의내용 BOOT PARAMETER BOOT PARAMETER 정보 BOOT PARAMETER 입력예 LKV-316A CONTROL METHOD LKV-316A 보드 MEMORY MAP NVRAM NVRAM 의기능 NVRAM Control Function FLASH MEMORY Flash Memory 의기능 Flash Memory Control Function Flash Memory R/W Function SRAM THE CONTENTS OF A LKV-316A 보드 IO CONTROL WATCH DOG TIME OUT RESET CONTROL Watchdog Time Reset 기능 Watchdog time control function FRONT PANEL LED CONTROL METHOD Front Panel LED Control Function DIP SWITCH READ METHOD Function Description Dip Switch Read Fucntion LKV-316A SERIAL CONTROL METHOD /27

4 4.1. LKV-316A 보드 SERIAL DRIVER INITIALIZATION VXWORKS IO SYSTEM OPEN () CLOSE () READ () WRITE () IOCTL () XR16L788 DRIVER IO CONTROL METHOD XR16L788 BSP SPECIFIC IOCTL XR16L788 General Function XR16L788 Auto Control IOCTL Function XR16L788 Error Check IOCTL Function XR16L788 RS485 CONTROL METHOD PROGRAM EXAMPLE RS485 통신 test Example LKV-316A 보드 VMEBUS CONTROL METHOD LKV-316A 보드 VME MEMORY MAP LKV-316A VME BUS ACCESS EXAMPLE EXTENDED MODE STANDARD MODE ACCESS EXAMPLE 주의사항 /27

5 그림목차 그림 1. LKV-316A 보드의 Boot Parameter 입력정보... 8 표목차 표 1. LKV-316A Memory Map... 9 표 2. DS9034(RTC) Register Map 표 3. LKV-316A VME Bus Memory Map /27

6 1. Introduction 1.1. LKV-316A 보드의소개 LKV-316A CPU board 는 VMEbus 용 board 로써 Motorola 사의 PowerPC core 가내장된 embedded communication processor 인 MPC860 을사용하여고성능과다기능을가능하게하였다. Front panel 을통하여 1 개의 ethernet port 와 2 개의통신용 serial port 와 console port 가장착되어있다. 통신용 port 는 RS232 또는 RS485 통신이가능하다. 또한 TM-8 Transition Module 을장착할때 8 개의 RS232/RS485 serial 통신 port 를추가할수있으며 TM-16 Transition Module 을장착할때 16 개의 RS232/RS485 serial 통신 port 를추가할수있다. 또한 Transition Module 을사용하지않고 VMEbus P2 커넥터를통해서 16 개의 RS232 serial port 통신을할수있다. board 내에 ARCnet mezzanine board 를장착하면 VMEbus 를통해서 ARCnet 통신도가능하다. VMEbus 에서는 system controller 기능과 Master 기능, Slave 기능, Interrupt handler 기능을가지고있다. board 내에 32 MB SDRAM, 1 MB EPROM, 512 KB RTC/NVRAM, 4 MB Flash Memory, 1MB SRAM 을가지고있어서다양한 application 에의사용이가능하도록설계되어있다. OS 로는 VxWorks 가지원된다. 또한 Backplane 기능이지원되며, Master 보드에서 1 개의 LEN 으로 Slave 보드를 VME 버스를통해서 Booting 시킬수있습니다 본문서의내용 LKV-316A 보드는보드내에 32Mbyte 의 SDRAM, 4Mbyte 의 Flash Memory, 512Kbyte 의 RTC/NVRAM, 1Mbyte 의 EPROM 으로구성되어있다. 또한 Serial Port3 개와 VMEBus Interface 가구현되어있어 Master/Slave 보드로사용가능하다. 본문서에서는위와같은보드구성에따라 1 장에서는 LKV-316A 보드에대한소개및구성에대한내용이수록되어있으며, 2 장부터는보드사용자가 LKV-316A 보드사용시 Setting 해야할 Boot Parameter 에관해자세한설명이되어있다. 3 장에서는본보드에서지원되는다양메모리종류의컨트롤방법및예가주어지며, 4 장에서는 Serial Port Setting 및사용방법에대해서소개되며그에관한예가주어진다 /27

7 2. Boot Parameter 2.1. Boot Parameter 정보 boot device : cpm unit number : 0 processor number : 0 host name : hjahn file name : vxworks inet on ethernet (e) : host inet (h) : user (u) : hjahn ftp password (pw) : 1234 flags (f) : 0x0 target name (tn) : other (o) : 위의내용은 Boot Parameter 설정예제이다. 먼저 boot device 는 Ethernet 드라이버의 name 을가리키고보드에따라달라지며, Device Driver 의구성도또한다르다. File name 은 VxWorks 이미지가있는경로명을적어준다. [ 그림 1] 은 VxWorks Boot 를하기위한 boot parameter 의실제입력정보이다. inet on Ethernet(e) 는보드의 IP 주소를적어주며, host inet 은 VxWorks 이미지를다운로드받을 host 의주소를적어준다. 다음으로 user(u) 와 ftp password 에는 ftp demon 의 ID 와 Password 를적어주면된다. 여기서 Debug 용 Console 프로그램은 Tera Term 을사용한다. BSP 는 FTP 를이용하여 host 로부터 OS+Application image 를다운로드받으며, 후에이를실행한다 Boot Parameter 입력예 VxWorks Boot Prompt([VXWorks Boot]:) 상에서 p 를입력하면 [ 그림 1] 과같은 Boot Parameter 에관한정보를볼수있다. 또한 Prompt 상에서 c 를입력하면 Boot Parameter 에대한정보를사용자의환경에맞게 Setting 가능하도록되어있다. Boot Parameter 의입력이끝난후 Prompt 를입력하면 Ethernet 을통해부팅이실행되는것을확인할수있다 /27

8 그림 1. LKV-316A 보드의 Boot Parameter 입력정보 /27

9 3. LKV-316A Control Method 3.1. LKV-316A 보드 Memory Map 표 1. LKV-316A Memory Map Memory range Size(Byte) Description 0x ~ 0x01FFFFFF 32M SDRAM 0x ~ 0xEFFFFFFF 3.6G VME Extended Address 0xF ~ 0xF0FFFFFF 16M VME Standard Address 0xF ~ 0xF11FFFFF 1M SRAM Space 0xF ~ 0xF201FFFF 128K NVRAM Space 0xF RESERVED 0xF ~ 0xF400FFFF 64K VME Short Address 0xF FAIL LED OFF 0xF FAIL LED ON 0xF DIP SW Read Address 0xF VMEbus Interrupt Request Status Read 0xF700000X VMEbus Interrupt Acknowledge 0xF800000X SCC0 Chip Select 0xF900000X SCC1 Chip Select 0xFA00000X ARCS Chip Select 0xFB VMEbus Interrupt Vector Write Register 0xFB VMEbus Interrupt request register 0xFB RESERVED 0xFC SCC Reset 0xFC Watch Dog Timer Disable/Enable 0xFD ~ 0xFD3FFFFF 4M Flash Memory 0xFE VMEbus RMC Cycle 0xFF IMMR 0xFFF00000 ~ 0xFFFFFFFF 1M EPROM /27

10 NVRAM NVRAM 의기능 NVRAM 은 Boot Parameter 의저장을주목적으로한다. 비휘발성메모리로 Rom 은아니지만내부에 battery 가내장되어있어특정 data 를저장하기위한용도로사용가능하다. 또한 RTC 기능으로사용되며, NVRAM 에 Setting 한시간값에의해정확한시간을알아낼수있다. 현재 LKV-316A 보드는 Dallas 사의 DS1646 를사용하며, 메모리는 128Kbyte 이다. NVRAM 을 Access 할경우 MPC860 의특성으로인하여 8bit 단위로 Access 되며, Read 시에는 16bit 나 32bit 단위로 Access 가능하다. NVRAM 의 Access Range 는 0xF ~ 0xF201f000 까지 Access 가능하다. 현재 0xF201fdd0 부터 0xF201fed0 까지는 Boot Parameter 의정보가저장되어있다 NVRAM Control Function 1) STATUS timeset(char *str) RTC 의시간정보를 Setting 하는함수이다. Setting 값의순서는 MM DD YY HH MM SS 의순서로설정된다. Ex) timeset( ) MM : Month DD : Day YY : Year HH : Hour MM : Minutes SS : Second 2) void timeshow(void) 현재 NVRAM 에저장되어있는시간정보를출력해주는함수이다. Ex) timeshow WED AUG 27 11:30: /27

11 3) void rtcinit(base) RTC 초기화함수이다. 표 2. DS9034(RTC) Register Map ADDRESS DATA B7 B6 B5 B4 B3 B2 B1 B0 FUNCTION 1FFFF YEAR FFFE MONTH FFFD DATE FFFC 0 FT DAY FFFB KS HOUR FFFA MINUTES FFF9 ST SECONDS FFF8 W R S CONTROL A ST : Stop Bit R : Read Bit FT : Frequency Test W : Write Bit S : Sign Bit KS : Kick Start Flash Memory Flash Memory 의기능 LKV-316A 보드의 Flash Memory 는 4Mbyte 의용량을가지고있으며 flash Memory 를이용하여 Flash Boot 기능으로사용가능하다 Flash Memory Control Function 1) makeflashboot(); /27

12 Flash 에 bootrom.bin 파일을플래쉬메모리에다운로드하는함수이다. LKV-316A 보드의 Flash Booting 을하기위해서는아래와같은절차를수행해야한다. 순서 1) DOS 프롬프트상에서 " WINDBASE host x86-win32 bin torvars.bat" 를실행하여 Path 를잡아준다. 순서 2) VxWorks bootrom.hex 파일을 Binary 형식으로만든다. DOS 프롬프트상에서 BSP 파일이있는디렉토리에서다음을실행한다. Ex) make bootrom.hex 순서 3) 아래와같은명령으로 bootrom.bin 파일을생성한다. Ex) elftobin <infile> outfile_bsd elftobin <bootrom> bootrom.bin 실행시 aout file 이 Binary 파일형식으로바뀜. 도스명령의가운데인자는롬파일만들때생성된 bootrom 파일이다. 순서 4) 생성된 Binary 파일을 Target Board 에서 Load 할수있는위치로옮긴다. 순서 5) Console 상에서 ls 명령시다음과같이 binary 파일이보이는지확인한다 -> ls bootrom.bin 순서 6) makeflashboot 함수를이용하여 Binary 파일을 Flash Memory 에기록한다. 순서 7) 아래의 makeflashboot Test 와같은메시지가나오면정상적으로플래쉬메모리에기록된다. 아래상태에서롬의우측하단부에있는점퍼 2 개를밑으로옮기면플래쉬부팅이이루어진다 Flash Memory R/W Function 1) Flash Erase Function - STATUS block_erase(int blknum) /27

13 blknum 에해당되는 block 만을지우는함수이다. Block 의개수는 32 개이다. - void all_erase(void) flash Memory 전체를 clear 하는 function 이다. 현재 flash Memory 는 4Mbyte 이며, 전체블록은 32 개의블록을가지고있다. 2) flash Write Function - STATUS flash_write(uint32 addr, UINT16 *buf_pt, UINT32 buf_cnt) 현재 flash Memory 에 Write 하는 function 이다. 첫번째함수인자는 Flash Memory 의 Address 이다. 두번째인자는 Flash Memory 의 Write 될 Data 를담고있는 Buffer 의첫벗째 pointer 가된다. 마지막으로세번째인자는 flash memory 에 Write 될 Buffer 의 Size 가된다. 3) flash Read Function - STATUS flash_read(uint32 faddr, dst, UINT32 cnt) 현재 flash Memory 를 Read 하는 function 이다. 첫번째함수인자는 Flash Memory 의 Address 이다. 두번째인자는 Flash Memory 의 Read 될 Data 를저장할수있는 Buffer 의첫벗째 pointer 가된다. 마지막으로세번째인자는 flash memory 를 Read 할 Buffer 의 Size 가된다 SRAM LKV-316A 보드의 SRAM 는 1Mbyte 의용량을가지고있다. Address Range 는 0xF ~ 0xF11FFFFF 이다. SRAM 은 8bit, 16bit, 32bit 모두 Read/Write Access 가능하다 3.2. The contents of a LKV-316A 보드 IO Control Watch dog time out reset control Watchdog Time Reset 기능 LKV-316A Board 에서는 Maxim 사의 MAX690 칩을사용하여 Watchdog time out 기능을구현하였다. Watchdog Enable 함으로서보드의동작이불안정시다시안정상태로가능하게할수있다 ( 보드 reset) /27

14 Watchdog time control function 1) void watchdogtimer_enable(void) Watchdog timer Enable Fucntion 이며, Watch Dog Timer Disable 시 Address 0xFC 에 0xFF 를 Write 하면 Watch Timer 가 Enable 이된다. 2) void watchdogtimer_disable(void) Watchdog timer Disable Fucntion 이며, Watch Dog Timer Enable 시 Address 0xFC 에 0x00 를 Write 하면 Watch Timer 가 Disable 이된다. 3) STATUS watchdogtimer_clear_set(void) Watchdog timer Setting Fucntion 이며, 호출시 Watchdog Timer 가 Clear 함으로서 Watchdog timer reset 이걸리지않게된다. 실행시 Diag1 LED 가 On/Off 되며, Timer 가 clear 된다. 4) STATUS watchdogtimer_clear_free(void) 함수호출시 Watchdog timer 는 clear 되지않는다. 따라서 board 는 reset 된다 Front Panel LED Control Method Front Panel LED Control Function 1) STATUS ledcon(char led_value) led_value 따라서 Diag LED 가 On/Off 된다. EX) ledcon(1) : Diag0 LED ON ledcon(2) : Diag0 LED OFF ledcon(3) : Diag1 LED ON ledcon(4) : Diag1 LED OFF Dip Switch Read method Function Description LKV-316A Board 는 1 개의 Dip Switch 가있으며, Switch On 시 data 는 0 으로 Read 되며, Switch Off 시 data 는 1 로 read 된다. DIP SWITCH READ Address 는 0xF 이다 Dip Switch Read Fucntion /27

15 1) char DipSwValue(void) Dip Switch Read 시 char 값이 return 되며, 값의범위는 0x00 ~ 0xFF 사이의값이다 /27

16 4. LKV-316A Serial Control method 4.1. LKV-316A 보드 Serial Driver Initialization LKV-316A 보드는 1 개의 Ethernet Port 와 3 개의 Serial Port 가전면에장착되어있으며, Serial Device 로는 XR16L788 2 개가장착되어있어 Serial Port 를 16channel 까지지원한다. 또한 Baud rate generation 을위한기본 Clock 은 MHz 가공급된다 vxworks IO System LKV-316A 보드는 IO System 을통해서 create(), remove() open(), close(), read(), write(), ioctl() 함수를지원하며 vxworks 에서일반적으로지원하는기능과같다 open () 1) Synopsis int open ( const char * name, int flag, int mode ) 2) Description a name 현재생성되어야될프로세서의드라이버를가리킨다. 드라이버의이름은각각의프로세서마다다르며파일단위로생성됨. Name 을통해서드라이버에접근가능함. b flag O_RDONLY(0) : 드라이버읽기전용으로생성 O_WRONLY(1) : 드라이버쓰기전용으로생성 O_RDWR(2) : 드라이버읽기 / 쓰기로생성 O_CREATE(0x0200) : 드라이버를파일단위로생성 c mode UNIX 시스템에서파일접근허가를나타내는숫자를수록함. 3) Return Value a number 파일단위로생성된디바이스의개수를나타냄 b ERROR 해당디바이스가없거나파일네임과맞지않을경우를나타냄 /27

17 close () 1) Synopsis STATUS close ( int fd ) 2) Description a fd 시스템으로부터드라이버파일의사용이끝났음을알림. 3) Return Value a OK 호출이성공적으로이루어졌을경우를나타냄 b ERROR 해당드라이버가없을경우또는파일기술자가아닐경우 read () 1) Synopsis int read (int fd, char * buffer, size_t maxbytes) 2) Description 개방된드라이버파일로부터일정수의바이트를버퍼로복사하기위해사용됨. a fd 버퍼로읽어들일드라이버의파일명 b buffer 읽어들일파일의저장공간으로 char type 의포인터로정식선언되며 1 문자와 1 바이트는구별없이사용할수있다. 즉 buffer 는자료가복사되어질문자배열에대한포인터임. c maxbytes 파일로부터읽혀질바이트의수를나타내는양의정수임. 3) Return Value a number 파일단위로생성된디바이스에서버퍼에저장된바이트의개수를나타냄 b ERROR 해당디바이스가없거나파일네임과맞지않을경우를나타냄 write () 1) Synopsis int write ( int fd, char * buffer, size_t nbytes) /27

18 2) Description write 의호출은 read 와는반대로문자배열로선언된프로그램버퍼로부터개방된파일드라이버를통해일정수의바이트를출력하거나쓰기위해사용됨 a fd 출력하거나쓰기위한드라이버의파일명 b buffer 문자배열로선언된프로그램버퍼로 char type 의포인터로정식선언되며 1 문자와 1 바이트는구별없이사용할수있다즉 buffer 는출력될자료의문자배열에대한포인터임. c nbytes 파일로부터출력되어야할바이트의수를나타내는양의정수임. 3) Return Value a number 프로그램버퍼로부터디바이스에쓰여진바이트의개수를나타냄 b ERROR 해당디바이스가없거나파일네임과맞지않을경우를나타냄 ioctl () 1) Sysnopsis int ioctl ( int fd, int function, int arg ) 2) Description Device 의 I/O 컨트롤함수로매우유용하게쓰인다. a fd 컨트롤할디바이스의터미널명 b function I/O 컨트롤함수는디바이스드라이버에따라각함수에대한옵션이정해져있으며제공되는드라이버의옵션은다음과같다. - FIOBAUDRATE Baud Rate 을 Set 한다. - FIOGETOPTIONS 각채널에대한옵션을얻어옴 - FIOSETOPTIONS 각채널에대한옵션을 Setting 함 - FIOCANCEL 각채널에대한 read/write 요청을거부함 - FIOFLUSH RX Buffer clear /27

19 - FIOWFLUSH TX Buffer clear VxWorks Programmer s Guide 3 장참조 주의 : 통신도중에에러가발생해서데이터수신이불안정한경우에는기본적으로사용중이던포트를 close() API 를이용해서사용중지하고, 다시 open() API 를사용해서해당통신포트를초기화한후에 read()/write() API 등을사용해서통신을수행하는것이보다안전합니다 /27

20 4.3. XR16L788 Driver IO Control Method LKV-316A 보드는 IO System 을통해서 create(), remove() open(), close(), read(), write(), ioctl() 함수를지원하며 xr16l788 에서제공되는 ioctl 의 parameter 는다음과같다 XR16L788 BSP Specific IOCTL XR16L788 General Function XR16L788 에서제공되는드라이버옵션은다음과같다. - FIO_SET_BAUDRATE Baud Rate 을 Setting 함 - FIO_GET_BAUDRATE 현재 channel 별로 Setting 되어있는 BAUDRATE 의값을읽음 - FIO_R_FLUSH Read Buffer Flush - FIO_W_FLUSH Write Buffer Flush - FIO_GET_DREV Driver 의 Reversion Number 을읽어옴 - FIO_CHAN_RESET Serial Channel 을 Reset 함 - FIO_SET_DATA_LEN Channel 의 Data length 을 Set - FIO_SET_STOP_BIT Channel 의 Stop Bit 의개수를 Set - FIO_SET_PARITY Channel 의 Parity Bit 를 Set(NONE, EVEN, ODD) - FIO_SET_RTS_ON - FIO_SET_RTS_OFF - FIO_SET_DTR_ON - FIO_SET_DTR_OFF XR16L788 Auto Control IOCTL Function 1) XR16L788 Auto Control Function /27

21 XR16L788 에서제공되는드라이버옵션은다음과같다. - FIO_SET_FLOW_CTR Channel Sortware Flow Control - FIO_SET_AUTO_RS485CTR Channel Auto RS485 Control Enable - FIO_UNSET_AUTO_RS485CTR Channel Auto RS485 Control Disable - FIO_SET_AUTO_RTSDTR Channel Auto RTSDTR Control Enable - FIO_UNSET_AUTO_RTSDTR Channel Auto RTSDTR Control Disable - FIO_SET_AUTO_CTSDSR Channel Auto CTSDSR Control Enable - FIO_UNSET_AUTO_CTSDSR Channel Auto CTSDSR Control Disable XR16L788 Error Check IOCTL Function 1) XR16L788 Error Check Function XR16L788 에서제공되는드라이버옵션은다음과같다. - FIO_RX_OVER_RUN_ERR Channel Rx Over Run Error Number Check - FIO_RX_PARITY_ERR Channel Rx Parity Error Number Check - FIO_RX_FRAMING_ERR Channel Rx Framing Error Number Check - FIO_RX_BREAK_ERR Channel Rx Break Error Number Check - FIO_RX_FIFO_ERR Channel Rx FIFO Error Number Check /27

22 XR16L788 RS485 Control Method Program Example LKV-316A 보드의 TM Module 에서 jumper 를 RS485 모드로 Setting 한다. (Setting 방법은 TM Module 하드웨어설명서를참조 ) 기본적으로 RS485 통신의신호선은 RS232 와별차이가없고다만물리적으로하나의신호선에두개의라인이필요한데그들의표현은신호선명뒤에 + 와 - 로구분표기한다. 하지만 UART 의 TXD, RXD 신호선이멀티포인트버스에의하여공동으로사용하게됨에유의하여야한다. 즉하나의마스터는멀티포인트버스를출력이면출력, 입력이면입력으로구분하여사용할수밖에없다. 일반적으로 RS485 통신시개폐신호는 RTS 나 DTR 신호중하나를사용하며, 시스템베이스의경우 RTS 신호를사용한다 RS485 통신 test Example void tmrs485test(int from, int to, int loop_cnt) { volatile char *buf; char *str=" abcdef abcdef"; int k, r_byte, i=0; int temp; printf(" n n n n n"); printf(" t************************************************** n"); printf(" t* * n"); printf(" t* TM RS485 LOOP Test * n"); printf(" t* * n"); printf(" t************************************************** n"); printf(" n n"); /* 데이터송신포트처리 */ tmopenport(from); ioctl(tmfp_port[from], 0xF44, 0); ioctl(tmfp_port[from], 0xF04, 10); /27

23 /* 데이터수신포트처리 */ tmopenport(to); ioctl(tmfp_port[from], 0xF44, 0); ioctl(tmfp_port[to], 0xF04, 10); if( (from < 0 from > 15) (to < 0 from > 15) ) { printf("select correct port number : 0 ~ 15 n"); return; } while(1) { write(tmfp_port[from],str+i,16); /* TX */ r_byte=read(tmfp_port[to],buf,16); /* RX */ printf("r_byte = %d n",r_byte); for (k=0; k<r_byte; k+=1) printf("%c"); printf(" n"); i++; if (I == 16) i=0; /* 송신 / 수신포트바꿈 */ temp = to; to = from; from = temp; } if (loop_cnt!= -1) { loop_cnt--; if (loop_cnt == 0) } break; } /* 송신 / 수신포트 close */ tmuartclose(from); tmuartclose(to); /27

24 5. LKV-316A 보드 VMEBus Control method 5.1. LKV-316A 보드 VME Memory Map 표 3. LKV-316A VME Bus Memory Map NAME Address Size(Byte) Access Description VMEBUS Extended 0x ~ 3.6G R/W VME A32 Access Address 0xEFFFFFFF VMEBUS Standard 0xF ~ 16M R/W VME A24 Access Address 0xF0FFFFFF VMEBUS Short Address 0xF ~ 0xF400FFFF 64K R/W VME A16 Access 5.2. LKV-316A VME Bus Access Example Extended Mode Standard Mode Access Example void vme_rw_test (unsigned int *extended_adrs, unsigned int *standard_adrs, unsigned int vmemsize, int flag) { unsigned int i; unsigned int extendedsize, standardsize; unsigned int *extendedadrs, *standardadrs; int extendeddata, standarddata; unsigned int vme_loopcnt = 0; extendedsize = vmemsize/4; standardsize = vmemsize/4; while(1) { if (flag!= -1) { flag--; if (flag == 0) } break; /27

25 extendedadrs = extended_adrs; printf("[%d]vme Extended Mode RW Test!! n", vme_loopcnt); for (i=0; i<extendedsize; i++) *(extendedadrs++) = 0; extendedadrs = extended_adrs; for (i=0; i<extendedsize; i++) *(extendedadrs++) = i; extendedadrs = extended_adrs; for (i=0; i<extendedsize; i++) { extendeddata = *(extendedadrs++); if (i!= extendeddata) printf("data Compare Error!! Extended Adrs[0x%x] : Original Data[0x%x] : Error Data[0x%x] n", extendedadrs, i, *(extendedadrs)); } printf("[%d]vme Extended Mode RW Test OK!! n", vme_loopcnt); standardadrs = standard_adrs; printf("[%d]vme Standard Mode RW Test!! n", vme_loopcnt); for (i=0; i<standardsize; i++) *(standardadrs++) = 0; standardadrs = standard_adrs; for (i=0; i<standardsize; i++) *(standardadrs++) = i; standardadrs = standard_adrs; for(i=0; i<standardsize; i++) { standarddata = *(standardadrs++); if (i!= standarddata) printf("data Compare Error!! Standard Adrs[0x%x] : Original Data[0x%x] : Error Data[0x%x] n", standardadrs, i, *(standardadrs)); } printf("[%d]vme Standard Mode RW Test OK!! n", vme_loopcnt++); } } /27

26 6. 주의사항! CAUTION VME Rack에서가장왼쪽에위치한보드는 System Controller로설정할것. System Controller 보드는하나의 Rack에하나만장착할것. System Controller 보드이외의보드는마스터 /Slave 보드로설정할것. KVME402 보드가장착되는 Rack의 GND는 FGND와직접연결하거나 Capacitor를통해연결할것.! WARNING KVME402 보드는정전기 (Electrostatic Discharge) 에취약할수있으니, 보드취급시주의할것. KVME402 보드를 Rack에장착할시에는가능하면전원을끄고작업할것. Rack이접지되지않았을경우감전의우려가있으므로, 반드시접지여부를확인하고, 물이나땀이뭍은손으로작업하지말것 /27

27 엘케이일레븐 서울특별시송파구가락 2 동 번지동명빌딩 3 층 전화 : /27

Microsoft Word - KPMC-400,401 SW 사용 설명서

Microsoft Word - KPMC-400,401 SW 사용 설명서 LKP Ethernet Card SW 사용설명서 Version Information Tornado 2.0, 2.2 알 림 여기에실린내용은제품의성능향상과신뢰도의증대를위하여예고없이변경될수도있습니다. 여기에실린내용의일부라도엘케이일레븐의사전허락없이어떠한유형의매체에복사되거나저장될수없으며전기적, 기계적, 광학적, 화학적인어떤방법으로도전송될수없습니다. 엘케이일레븐경기도성남시중원구상대원동

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

Microsoft Word - LKP-RTD 사용자 설명서

Microsoft Word - LKP-RTD 사용자 설명서 LKP-RTD 보드 사용자설명서 Version Information H/W Version : Version 1.0 소속 : ( 주 ) 엘케이일레븐연구소주소 : 성남시중원구상대원동 190-1 SKn테크노파크메가센터 1306호전화 : 031-776-4120 / FAX : 031-766-4119 목차 1. 개요... 5 2. 사양... 6 3. 블록도... 7 4.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

슬라이드 1

슬라이드 1 / 유닉스시스템개요 / 파일 / 프로세스 01 File Descriptor file file descriptor file type unix 에서의파일은단지바이트들의나열임 operating system 은파일에어떤포맷도부과하지않음 파일의내용은바이트단위로주소를줄수있음 file descriptor 는 0 이나양수임 file 은 open 이나 creat 로 file

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

untitled

untitled CAN BUS RS232 Line Ethernet CAN H/W FIFO RS232 FIFO IP ARP CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter ICMP TCP UDP PROTOCOL Converter TELNET DHCP C2E SW1 CAN RS232 RJ45 Power

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

untitled

untitled Step Motor Device Driver Embedded System Lab. II Step Motor Step Motor Step Motor source Embedded System Lab. II 2 open loop, : : Pulse, 1 Pulse,, -, 1 +5%, step Step Motor (2),, Embedded System Lab. II

More information

untitled

untitled CAN BUS RS232 Line CAN H/W FIFO RS232 FIFO CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter PROTOCOL Converter CAN2RS232 Converter Block Diagram > +- syntax

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED Controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Web server porting 2 Jo, Heeseung Web 을이용한 LED 제어 Web 을이용한 LED 제어프로그램 web 에서데이터를전송받아타겟보드의 LED 를조작하는프로그램을작성하기위해다음과같은소스파일을생성 2 Web 을이용한 LED 제어 LED 제어프로그램작성 8bitled.html 파일을작성 root@ubuntu:/working/web# vi

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-SEGMENT DEVICE CONTROL - DEVICE DRIVER Jo, Heeseung 디바이스드라이버구현 : 7-SEGMENT HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 디바이스드라이버구현 : 7-SEGMENT 6-Digit 7-Segment LED

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

untitled

untitled Embedded System Lab. II Embedded System Lab. II 2 RTOS Hard Real-Time vs Soft Real-Time RTOS Real-Time, Real-Time RTOS General purpose system OS H/W RTOS H/W task Hard Real-Time Real-Time System, Hard

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

Chapter #01 Subject

Chapter #01  Subject Device Driver March 24, 2004 Kim, ki-hyeon 목차 1. 인터럽트처리복습 1. 인터럽트복습 입력검출방법 인터럽트방식, 폴링 (polling) 방식 인터럽트서비스등록함수 ( 커널에등록 ) int request_irq(unsigned int irq, void(*handler)(int,void*,struct pt_regs*), unsigned

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

-. Data Field 의, 개수, data 등으로구성되며, 각 에따라구성이달라집니다. -. Data 모든 의 data는 2byte로구성됩니다. Data Type는 Integer, Float형에따라다르게처리됩니다. ( 부호가없는 data 0~65535 까지부호가있는

-. Data Field 의, 개수, data 등으로구성되며, 각 에따라구성이달라집니다. -. Data 모든 의 data는 2byte로구성됩니다. Data Type는 Integer, Float형에따라다르게처리됩니다. ( 부호가없는 data 0~65535 까지부호가있는 Dong Yang E&P 인버터 Modbus Monitoring Protocol 2018. 08. 27 Sun Spec (Modbus-RTU) -. Modbus Protocol 각 Field에대한설명 Frame갂의구별을위한최소한의시갂 BaudRate 9600에서 1bit 젂송시갂은 Start 0.104msec, (3.5 character Times, 1 Character

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Microsoft Word - MPC850 SPI Driver.doc

Microsoft Word - MPC850 SPI Driver.doc MPC850 SPI Driver 네트워크보드에서구현한 SPI Device Driver 제작및이용방법입니다. 문서작성 : 이재훈 (kingseft.lee@samsung.com) 이용한 SPI EEPROM - X5043/X5045 512 x 8 bit SPI EEPROM (4Kbits = 512bytes) - 제조사 : XICOR (www.xicor.com) -

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

10.

10. 10. 10.1 10.2 Library Routine: void perror (char* str) perror( ) str Error 0 10.3 10.3 int fd; /* */ fd = open (filename, ) /*, */ if (fd = = -1) { /* */ } fcnt1 (fd, ); /* */ read (fd, ); /* */ write

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

휠세미나3 ver0.4

휠세미나3 ver0.4 andromeda@sparcs:/$ ls -al dev/sda* brw-rw---- 1 root disk 8, 0 2014-06-09 18:43 dev/sda brw-rw---- 1 root disk 8, 1 2014-06-09 18:43 dev/sda1 brw-rw---- 1 root disk 8, 2 2014-06-09 18:43 dev/sda2 andromeda@sparcs:/$

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

<443A5C4C C4B48555C B3E25C32C7D0B1E25CBCB3B0E8C7C1B7CEC1A7C6AE425CBED0C3E0C7C1B7CEB1D7B7A55C D616E2E637070>

<443A5C4C C4B48555C B3E25C32C7D0B1E25CBCB3B0E8C7C1B7CEC1A7C6AE425CBED0C3E0C7C1B7CEB1D7B7A55C D616E2E637070> #include "stdafx.h" #include "Huffman.h" 1 /* 비트의부분을뽑아내는함수 */ unsigned HF::bits(unsigned x, int k, int j) return (x >> k) & ~(~0

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 BOOTLOADER Jo, Heeseung 부트로더컴파일 부트로더소스복사및압축해제 부트로더소스는웹페이지에서다운로드 /working 디렉터리로이동한후, wget으로다운로드 이후작업은모두 /working 디렉터리에서진행 root@ubuntu:# cp /media/sm5-linux-111031/source/platform/uboot-s4210.tar.bz2 /working

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

Sena Device Server Serial/IP TM Version

Sena Device Server Serial/IP TM Version Sena Device Server Serial/IP TM Version 1.0.0 2005. 3. 7. Release Note Revision Date Name Description V1.0.0 2005-03-7 HJ Jeon Serial/IP 4.3.2 ( ) 210 137-130, : (02) 573-5422 : (02) 573-7710 email: support@sena.com

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

lecture4(6.범용IO).hwp

lecture4(6.범용IO).hwp 제 2 부 C-언어를 사용한 마이크로컨트롤러 활용기초 66 C-언어는 수학계산을 위해 개발된 FORTRAN 같은 고급언어들과는 달 리 Unix 운영체제를 개발하면서 같이 개발된 고급언어이다. 운영체제의 특성상 C-언어는 다른 고급언어에 비해 컴퓨터의 하드웨어를 직접 제어할 수 있는 능력이 탁월하여 마이크로프로세서의 프로그램에 있어서 어셈블 리와 더불어 가장

More information

슬라이드 1

슬라이드 1 / 임베디드시스템개요 / 임베디드운영체제 / 디바이스드라이버 01 Linux System Architecture Application Area Application System Call Interface BSD Socket Virtual File System INET(AF_INET) Kernel Area Buffer Cache Network Subsystem

More information

歯설명서_020925_.PDF

歯설명서_020925_.PDF GMPC-III (Protocol Converter-III) USER S MANUAL 1 1 1 4 2 5 2.1 5 2.2 5 2.3 6 3 7 3.1 7 4 8 4.1 8 4.2 8 5 GMPC-III 9 5.1 9 5.2 I-NET 9 5.3 ( ) 9 5.4 ( ) ( ) 10 6 12 6.1 12 7 GMPC-III 13 7.1 CPU 13 7.2

More information

bn2019_2

bn2019_2 arp -a Packet Logging/Editing Decode Buffer Capture Driver Logging: permanent storage of packets for offline analysis Decode: packets must be decoded to human readable form. Buffer: packets must temporarily

More information

Smart Power Scope Release Informations.pages

Smart Power Scope Release Informations.pages v2.3.7 (2017.09.07) 1. Galaxy S8 2. SS100, SS200 v2.7.6 (2017.09.07) 1. SS100, SS200 v1.0.7 (2017.09.07) [SHM-SS200 Firmware] 1. UART Command v1.3.9 (2017.09.07) [SHM-SS100 Firmware] 1. UART Command SH모바일

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 System call table and linkage v Ref. http://www.ibm.com/developerworks/linux/library/l-system-calls/ - 2 - Young-Jin Kim SYSCALL_DEFINE 함수

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

본교재는수업용으로제작된게시물입니다. 영리목적으로사용할경우저작권법제 30 조항에의거법적처벌을받을수있습니다. [ 실습 ] 스위치장비초기화 1. NVRAM 에저장되어있는 'startup-config' 파일이있다면, 삭제를실시한다. SWx>enable SWx#erase sta

본교재는수업용으로제작된게시물입니다. 영리목적으로사용할경우저작권법제 30 조항에의거법적처벌을받을수있습니다. [ 실습 ] 스위치장비초기화 1. NVRAM 에저장되어있는 'startup-config' 파일이있다면, 삭제를실시한다. SWx>enable SWx#erase sta [ 실습 ] 스위치장비초기화 1. NVRAM 에저장되어있는 'startup-config' 파일이있다면, 삭제를실시한다. SWx>enable SWx#erase startup-config Erasing the nvram filesystem will remove all configuration files Continue? [confirm] ( 엔터 ) [OK] Erase

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & /

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & / SINUMERIK 840C Service and Uer Manual DATA SAVING & LOADING & & / / NC, RS232C /. NC NC / Computer link () Device ( )/PC / / Print erial Data input RS232C () Data output Data management FLOPPY DRIVE, FLOPPY

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Text-LCD Device Control - Device driver Jo, Heeseung M3 모듈에장착되어있는 Tedxt LCD 장치를제어하는 App 을개발 TextLCD 는영문자와숫자일본어, 특수문자를표현하는데사용되는디바이스 HBE-SM5-S4210 의 TextLCD 는 16 문자 *2 라인을 Display 할수있으며, 이 TextLCD 를제어하기위하여

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1

MODBUS SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 1.00) 1 SERVO DRIVER( FDA7000 Series ) STANDARD PROTOCOL (Ver 100) 1 Contents 1 INTRODUCTION 2 PROTOCOL FRAME OUTLINE 3 FUNCTION FIELD 4 DATA FIELD 5 CRC CHECK 6 FUNCTION EXAM 7 EXCEPTION RESPONSE 8 I/O STATUS

More information

chap7.key

chap7.key 1 7 C 2 7.1 C (System Calls) Unix UNIX man Section 2 C. C (Library Functions) C 1975 Dennis Ritchie ANSI C Standard Library 3 (system call). 4 C?... 5 C (text file), C. (binary file). 6 C 1. : fopen( )

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

개요 AXSR5 레코더에 연결 시 NEXFS700 전용 RAW 포맷으로 변환되어 AXSR5 에서 녹화됩니다(PMWF55, F65 용 RAW 포맷과 다름). 또한 이 제품의 간단한 플레이백 기능을 사용하여 AXSR5에서 레코딩 된 비디오를 볼 수 있습니다. 플레이백 되는

개요 AXSR5 레코더에 연결 시 NEXFS700 전용 RAW 포맷으로 변환되어 AXSR5 에서 녹화됩니다(PMWF55, F65 용 RAW 포맷과 다름). 또한 이 제품의 간단한 플레이백 기능을 사용하여 AXSR5에서 레코딩 된 비디오를 볼 수 있습니다. 플레이백 되는 446648911(1) 휴대용 메모리 레코더 인터페이스 유닛 사용 설명서 HXRIFR5 개요 AXSR5 레코더에 연결 시 NEXFS700 전용 RAW 포맷으로 변환되어 AXSR5 에서 녹화됩니다(PMWF55, F65 용 RAW 포맷과 다름). 또한 이 제품의 간단한 플레이백 기능을 사용하여 AXSR5에서 레코딩 된 비디오를 볼 수 있습니다. 플레이백 되는 영상은

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Chap06(Interprocess Communication).PDF

Chap06(Interprocess Communication).PDF Interprocess Communication 2002 2 Hyun-Ju Park Introduction (interprocess communication; IPC) IPC data transfer sharing data event notification resource sharing process control Interprocess Communication

More information

Microsoft PowerPoint - ch07.ppt

Microsoft PowerPoint - ch07.ppt chapter 07. 시스코라우터기본동작 한빛미디어 -1- 학습목표 시스코라우터외적, 내적구성요소 시스코라우터부팅단계 시스코라우터명령어모드 한빛미디어 -2- 시스코라우터구성요소 라우터외부구성요소 (1) [ 그림 ] 2600 라우터전면도 인터페이스카드 전원부 LED 라우터조건 한빛미디어 -3- 시스코라우터구성요소 라우터외부구성요소 (2) [ 그림 ] VTY 를이용한라우터접속

More information

Mango-AM335x LCD Type 커널 Module Parameter에서 변경하기

Mango-AM335x LCD Type 커널 Module Parameter에서 변경하기 Mango-AM335x LCD Type 커널 Module Parameter 에서 변경하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

Microsoft Word - FunctionCall

Microsoft Word - FunctionCall Function all Mechanism /* Simple Program */ #define get_int() IN KEYOARD #define put_int(val) LD A val \ OUT MONITOR int add_two(int a, int b) { int tmp; tmp = a+b; return tmp; } local auto variable stack

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770>

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770> i ii iii iv v vi 1 2 3 4 가상대학 시스템의 국내외 현황 조사 가상대학 플랫폼 개발 이상적인 가상대학시스템의 미래상 제안 5 웹-기반 가상대학 시스템 전통적인 교수 방법 시간/공간 제약을 극복한 학습동기 부여 교수의 일방적인 내용전달 교수와 학생간의 상호작용 동료 학생들 간의 상호작용 가상대학 운영 공지사항,강의록 자료실, 메모 질의응답,

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

K&R2 Reference Manual 번역본

K&R2 Reference Manual 번역본 typewriter structunion struct union if-else if if else if if else if if if if else else ; auto register static extern typedef void char short int long float double signed unsigned const volatile { } struct

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

6주차.key

6주차.key 6, Process concept A program in execution Program code PCB (process control block) Program counter, registers, etc. Stack Heap Data section => global variable Process in memory Process state New Running

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information