DBPIA-NURIMEDIA

Size: px
Start display at page:

Download "DBPIA-NURIMEDIA"

Transcription

1 2007 년 10 월전자공학회논문지제 44 권 SD 편제 10 호 55 논문 SD-10-8 패킷스케줄러를위한빠르고확장성있는 우선순위큐의하드웨어구조 (A Fast and Scalable Priority Queue Hardware Architecture for Packet Schedulers ) 김상균 *, 문병인 ** 1 (Sanggyun Kim and Byung In Moon ) 요 약 본논문에서는 QoS 를보장하면서빠른네트워크속도를지원해줄수있는우선순위큐의구조를제안한다. 제안한큐의구조는하나의큐로여러개의출력부에출력을보낼수있어면적을줄일수있고, 제어블록을추가함으로써기존의 multiple systolic array 우선순위큐보다더빠른속도로동작할수있기때문에높은패킷처리속도를요구하는패킷스케줄러등에적합한구조이다. 또한, 이구조는높은확장성을지원한다. Abstract This paper proposes a fast and scalable priority queue architecture for use in high-speed networks which supports quality of service (QoS) guarantees. This architecture is cost-effective since a single queue can generate outputs to multiple out-links. Also, compared with the previous multiple systolic array priority queues, the proposed queue provides fast output generation, which is important to high-speed packet schedulers, using a special control block. In addition, this architecture provides the feature of high scalability. Keywords : Quality of Service, Priority Queue, Packet Scheduler Ⅰ. 서론 요즘많이이용되고있는실시간동영상이나실시간음악서비스등의 real-time 통신에서는기존의웹서핑과같은일반적인통신보다높은속도와 QoS(Quality of Service) 의보장을요구한다. QoS란사용자또는어플리케이션의중요도에따라서비스수준을차등화하여한정된대역폭에서트래픽과대역폭을정책적으로관리하는것을말한다. 즉, 끊김이없는동영상을보거 * 학생회원, ** 평생회원, 경북대학교전자전기컴퓨터학부 (School of Electrical Engineering & Computer Science, Kyungpook National University) 이논문은 2005년도경북대학교학술진흥연구비에의하여연구되었음. 접수일자 : 2007년5월26일, 수정완료일 : 2007년9월21일 나음악을듣기위해서는 QoS가보장되어야한다. 이전의네트워크장비들은 QoS를보장하기위해소프트웨어적인기술들을사용하였으나근래의높아진네트워크의속도에맞춰 QoS를보장하기위해서는하드웨어적인기술이필요하다. QoS를보장하기위한하드웨어구조로각데이터에알맞은우선순위를부여하고높은우선순위순으로데이터를처리하는방법이가장많이사용되고있다. 예를들면, binary tree based of comparator 우선순위큐 [4], shift register 우선순위큐 [1], systolic array 우선순위큐 [2~3] 등이있다. 하지만이런방법들은결점이존재한다. binary tree based of comparator 우선순위큐의경우큐의용량이늘어남에따라출력이나오는시간이지연된다. shift register 우선순위큐와 systolic (885)

2 56 패킷스케줄러를위한빠르고확장성있는우선순위큐의하드웨어구조김상균외 array 우선순위큐는확장성은만족하지만여러개의출력부를사용할때는면적이커지는단점이있다. 본론에서소개할 modified multiple systolic array 우선순위큐는위에서말한우선순위큐의단점들을보안한구조로여러개의출력부를가지고높은속도를요구하는패킷스케줄러에알맞은우선순위큐이다. Ⅱ. 본론 1. 여러우선순위큐의구조들현재사용되고있는여러우선순위큐의구조들에대해알아보고각각의장단점을파악해보자. 가. Shift Register 우선순위큐 Shift register 우선순위큐는그림 1과같은구조로각블록들이바로옆 ( 좌, 우 ) 블록들과서로연결되어있다. [1] 입력된데이터는버스를통해모든블록에동시에입력되고우선순위를비교하여한블록만데이터를저장하고나머지블록의데이터들은오른쪽또는왼쪽으로순차적으로이동하게된다. 가장오른쪽블록에가장높은우선순위를가지는데이터가항상존재하므로읽기신호가들어오면가장오른쪽블록의데이터가출력된다. 하지만입력되는데이터가모든블록에동시에입력되어야하기때문에블록들이많아지면버스로딩문제가발생하게된다. 그림 2. Systolic array 우선순위큐의구조 Fig. 2. Structure of the systolic array priority queue. 지게된다. 하지만각블록의내부를보면저장하는레지스터와우선순위비교후왼쪽블록으로보낼레지스터두개를가지므로 shift register 우선순위큐보다는많은면적을차지한다. 다. Modified Systolic Array 우선순위큐위에서설명한두우선순위큐의장점을모아서 modified systolic array 우선순위큐를구성하였다. [5] 확장성을위해그림 3과같이여러개의 modified systolic array 우선순위큐블록이 systolic array와같은형태로연결되어있고각블록의내부는 shift register와같은구조를가지고있다. shift register 내부에연결되어있는블록들은버스로딩문제를일으키지않을만큼의수만큼만연결한다. 그림 1. Shift register 우선순위큐의구조 Fig. 1. Structure of the shift register priority queue. 나. Systolic Array 우선순위큐 Systolic array 우선순위큐는그림 2와같이 shift register 우선순위큐와비슷한구조를가지고있다. [2~3] 하지만모든블록에동시에입력되는것이아니라가장오른쪽블록이입력을받고우선순위에따라데이터가왼쪽으로이동한다. shift register 우선순위큐와같이가장오른쪽블록이가장높은우선순위의데이터를가 그림 3. Modified systolic array 우선순위큐의구조 Fig. 3. Structure of the modified systolic array priority queue. 라. Multiple Systolic Array 우선순위큐위에서설명한 modified systolic array 우선순위큐를이용하여여러개의출력부를하나의큐로대체할수있는 multiple systolic array 우선순위큐를그림 4 와같이구성하였다. [5] 기존의여러큐구조에서는각각의출력부에큐가하나씩있어야했으나이구조를이용하면하나의큐로여러개의출력부로출력을보낼수있으므로면적이절약이된다. 기본적인구조는 (886)

3 2007 년 10 월전자공학회논문지제 44 권 SD 편제 10 호 57 고빠른속도로입출력을하기위해추가된블록으로각 shift register 블록들에연결되어있다. 확장성을만족시켜주기위해그림5와같이 systolic array의형태로여러개의 modified multiple systolic array 우선순위큐를연결한다. 나. 동작 그림 4. Multiple systolic array 우선순위큐의구조 Fig. 4. Structure of the multiple systolic array priority queue. modified systolic array 우선순위큐와비슷하지만여러출력부에데이터를보낼수있도록하기위해몇가지블록들이추가되어있다. 2. Modified Multiple Systolic Array 우선순위큐 기존의 multiple systolic array 우선순위큐는각출력부에대한입력과출력에여러사이클이소요된다. 데이터가입력되면한블록에만저장되고나머지블록의데이터들은순차적으로이동하기때문에데이터가정렬될때까지기다린뒤출력하거나, 이동중인데이터를제외하고저장되어있는데이터중가장높은우선순위의데이터를출력하게된다. 즉, 출력하는데시간 이많이걸리거나잘못된데이터가출력될수도있다. 우리는제어를위한블록을추가하여이문제를해결할수있는새로운구조를제안한다. 가. 제안하는구조 그림 5와같이내부각블록들이서로연결되어있는구조는 multiple systolic array 우선순위큐와같지만제어블록이추가되어있다. 제어블록은좀더정확하 각블록들은제어블록의신호에따라 read, write, shift_right, shift_left 의네가지동작을한다. 기존의 multiple systolic array 우선순위큐는 shift register 의각블록에동시에데이터가입력되어각블록에서우선순위를판단한뒤저장하거나오른쪽또는왼쪽으로데이터를보낸다. 하지만 modified multiple systolic array 우선순위큐는제어블록이어느블록에데이터를쓸것인가와어느블록으로부터읽을것인가를판단하여각블록에신호를보낸다. 순차적으로데이터가이동되는것이아니라한꺼번에이동되므로빠르게입출력이가능하다. (1) 입력데이터가입력되면제어블록에서데이터가저장될블록을파악하여해당블록에 write 신호를보내고그왼쪽블록들중출력부번호가다른블록에는동시에 shift_left 신호를보내저장되어있던데이터를한블록씩왼쪽으로이동시킨다. 그림 6의 (b) 를보면, (a) 의정렬된상태에서출력부번호 1을가진 data가입력이된다. data가입력될블록은 write 신호가입력이되고, 나머지왼쪽블록들에게는 shift left 신호가입력이되어 1 cycle 후에는그림과같이정렬이된다. 그림 5. Modified multiple systolic array우선순위큐의구조 Fig. 5. Structure of the modified multiple systolic array priority queue. (887)

4 58 패킷스케줄러를위한빠르고확장성있는우선순위큐의하드웨어구조김상균외 (a) 정렬된상태 그림 7. 제어블록의구조 Fig. 7. Structure of the control block. (b) 출력부번호 1 을가진 data 입력 출력부의개수만큼포인터도존재하므로각출력부의가장높은순위의데이터를가진블록위치를가리키고있다. 이것은출력시에이용된다. 시그널컨트롤러는각포인터와카운터의값, 입력으로들어오는 write, read 신호를받아서어느블록에 shift_right, shift_left, write, read 신호를보낼지결정하는역할을한다. (c) 출력부번호 0을가진데이터출력그림 6. 입출력동작예시 Fig. 6. Examples of input and output operations. (2) 출력출력신호가들어오면해당출력부번호를가지는데이터들중가장순위가높은블록이 read 신호를받아데이터를출력시키고나머지왼쪽블록들은 shift_right 신호를받아데이터를한블록씩오른쪽으로이동시킨다. 그림 6의 (c) 를보면, 출력부 0을가진 data의출력을요청하는신호가입력되면가장오른쪽블록에 read 신호가입력되고나머지왼쪽의블록들은 shift right 신호를입력받아 1 cycle 후정렬이된다. 다. 제어블록제어블록은그림 7과같이포인터, 카운터그리고시그널컨트롤러로구성되어있다. 카운터와포인터는출력부의수만큼존재한다. 카운터는저장되어있는데이터의수를헤아리고있다가데이터가없을경우, 연결되어있는 modified multiple systolic array 블록에해당출력부의데이터를요구한다. 포인터는저장되어있는데이터중가장우선순위가높은데이터가저장되어있는블록을가리킨다. Ⅲ. 실험본논문에서제안한 modified multiple systolic array 우선순위큐는 RTL수준으로구현하여시뮬레이션및합성하였다. 기존의우선순위큐들과의크기를비교하기위하여, 앞에서소개한 shift register 우선순위큐, systolic array 우선순위큐, modified systolic array 우선순위큐, multiple systolic array 우선순위큐의저장소크기를 32개로정하고합성한후, modified multiple systolic array 우선순위큐와 transistor의수를비교하였다. 그림8과같이출력부가한개일때는 multiple systolic array 우선순위큐와 modified multiple systolic array 우선순위큐의면적이크지만출력부의수가많아질수록 shift register 우선순위큐, systolic array 우선순위큐그리고 modified systolic 우선순위큐는출력부의수에비례하여면적이넓어지는반면 multiple systolic array 우선순위큐와 modified multiple systolic array 우선순위큐는출력부의수와상관없이일정한크기를가진다. 대부분의패킷스케줄러는 1개이상의출력부를가지기때문에 multiple systolic array 우선순위큐와 modified multiple systolic array 우선순위큐는기존의다른우선순위큐 (888)

5 2007 년 10 월전자공학회논문지제 44 권 SD 편제 10 호 59 으로써더빠른속도로동작함을확인할수있었다. 이결과는각각의블록이데이터의우선순위를판단하여정렬하는 multiple systolic array 우선순위큐보다제어블록을이용하여데이터를입출력하는 modified multiple systolic array 우선순위큐가좀더빠른성능을나타낸다고할수있다. 또한 ISE를이용하여합성한결과제어장치는전체 modified multiple systolic array 우선순위큐면적의 5% 만차지함을알수있었다. Ⅳ. 결론 그림 8. Modified multiple systolic array 우선순위큐와 기존의큐들의 transistor 수의비교 Fig. 8. Comparison of the number of transistors used in modified multiple systolic array priority queue and the previous priority queues. 표 1. 출력부의수를다르게했을경우, 입출력에걸리는 cycle 수비교 Table 1. Comparison of input/output cycle counts (for a single output links and four output links) by changing out-link numbers. 출력부개수 1개 4개 큐의구조 ( 입력 / 출력 ) ( 입력 / 출력 ) multiple systolic array 우선순위큐 5 / 7 cycle[5] 5 / 7 cycle [5] modified multiple systolic array 우선순위큐 1 / 1 cycle 1 / 1 cycle 보다면적에서효율적인구조이다. Multiple systolic array 우선순위큐와 modified multiple systolic array 우선순위큐의성능을비교하기위해서출력부가 1개일때와 4개일경우를구분하여시뮬레이션하였다. 아래의표 1은하나의 modified multiple systolic array 우선순위큐블록만을사용하였을때의경우이다. 만약, 확장을위해 modified multiple systolic array 우선순위큐블록을더연결한다면입력과출력에걸리는 cycle은연결한블록의수만큼늘어나게된다. Multiple systolic array 우선순위큐와 modified multiple systolic array 우선순위큐를비교했을때, 표 1과같이출력부의수가달라져도입출력 cycle은변함이없는것은동일했지만, modified multiple systolic array 우선순위큐가입출력모두에 1 cycle만소요됨 본논문은패킷스케줄러를위한빠르고확장성있는우선순위큐의하드웨어구조를제안하였다. 출력부가여러개일경우기존의여러우선순위큐보다적은면적으로높은성능을낼수있었으며, multiple systolic array 우선순위큐의단점을보완하기위하여제어블록을추가적으로두어, 좀더빠르고정확한동작을할수있도록하였다. 실험을통해적은면적의제어블록을추가한 modified multiple systolic array 우선순위큐가 multiple systolic array 우선순위큐보다빠르게동작함을확인할수있었다. 참고문헌 [1] J. Chao, A Novel Architecture for Queue Management in the ATM network, IEEE J. Selected Areas in Comm. vol. 9, no. 7, pp. 1,110-1,118, Sept [2] P. Lavoie and Y. Savaria, A Systolic Architecture for Fast Stack Sequential Decoders, IEEE Trans. Comm, vol. 42, nos. 2/3/4, pp , Feb./Mar/Apr [3] C.E. Leiserson, Systolic Priority Queues, Proc. Caltech Conf. VLSI, pp , Jan [4] D. Picker and R. Fellman, A VLSI Priority Packet Queue with Inheritance and Overwrite, IEEE Trans. Very Large Scale Integration Systems, vol. 3 no. 2, pp , June [5] S. Moon, J. Rexford, and K. Shin, Scalable Haradware Priority Queue Architectures for High-Speed Packet Switches, IEEE Trans. Comp, vol. 49, no. 11, pp Nov (889)

6 60 패킷스케줄러를위한빠르고확장성있는우선순위큐의하드웨어구조김상균외 저자소개 김상균 ( 학생회원 ) 2006 년경북대학교전자전기컴퓨터학부학사졸업 2007 년현재경북대학교전자공학과석사과정 < 주관심분야 : 네트워크프로세서설계 > 문병인 ( 평생회원 ) 1995 년연세대학교전자공학과학사졸업 1997 년연세대학교전자공학과석사졸업 년연세대학교전기전자공학과박사졸업 년 ~2004 년하이닉스반도체선임연구원 2004 년 ~2005 년연세대학교연구교수 2005 년 ~ 현재경북대학교전자전기컴퓨터학부조교수 < 주관심분야 : 디지털집적회로설계, SoC 설계, 마이크로프로세서구조설계 > (890)

09권오설_ok.hwp

09권오설_ok.hwp (JBE Vol. 19, No. 5, September 2014) (Regular Paper) 19 5, 2014 9 (JBE Vol. 19, No. 5, September 2014) http://dx.doi.org/10.5909/jbe.2014.19.5.656 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a) Reduction

More information

À±½Â¿í Ãâ·Â

À±½Â¿í Ãâ·Â Representation, Encoding and Intermediate View Interpolation Methods for Multi-view Video Using Layered Depth Images The multi-view video is a collection of multiple videos, capturing the same scene at

More information

°í¼®ÁÖ Ãâ·Â

°í¼®ÁÖ Ãâ·Â Performance Optimization of SCTP in Wireless Internet Environments The existing works on Stream Control Transmission Protocol (SCTP) was focused on the fixed network environment. However, the number of

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 901 무선 센서 네트워크 환경에서 링크 품질에 기반한 라우팅에 대한 효과적인 싱크홀 공격 탐지 기법 (A Effective Sinkhole Attack Detection Mechanism for LQI based Routing in WSN) 최병구 조응준 (Byung

More information

chap 5: Trees

chap 5: Trees 5. Threaded Binary Tree 기본개념 n 개의노드를갖는이진트리에는 2n 개의링크가존재 2n 개의링크중에 n + 1 개의링크값은 null Null 링크를다른노드에대한포인터로대체 Threads Thread 의이용 ptr left_child = NULL 일경우, ptr left_child 를 ptr 의 inorder predecessor 를가리키도록변경

More information

¼º¿øÁø Ãâ·Â-1

¼º¿øÁø Ãâ·Â-1 Bandwidth Efficiency Analysis for Cooperative Transmission Methods of Downlink Signals using Distributed Antennas In this paper, the performance of cooperative transmission methods for downlink transmission

More information

45-51 ¹Ú¼ø¸¸

45-51 ¹Ú¼ø¸¸ A Study on the Automation of Classification of Volume Reconstruction for CT Images S.M. Park 1, I.S. Hong 2, D.S. Kim 1, D.Y. Kim 1 1 Dept. of Biomedical Engineering, Yonsei University, 2 Dept. of Radiology,

More information

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo

High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a lo High Resolution Disparity Map Generation Using TOF Depth Camera In this paper, we propose a high-resolution disparity map generation method using a low-resolution Time-Of- Flight (TOF) depth camera and

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Nov.; 26(11), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Nov.; 26(11), 985991. http://dx.doi.org/10.5515/kjkiees.2015.26.11.985 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Analysis

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 276), 504511. http://dx.doi.org/10.5515/kjkiees.2016.27.6.504 ISSN 1226-3133 Print)ISSN 2288-226X Online) Near-Field

More information

63-69±è´ë¿µ

63-69±è´ë¿µ Study on the Shadow Effect of 3D Visualization for Medical Images ased on the Texture Mapping D.Y. Kim, D.S. Kim, D.K. Shin, D.Y. Kim 1 Dept. of iomedical Engineering, Yonsei University = bstract = The

More information

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx

Microsoft PowerPoint - 알고리즘_5주차_1차시.pptx Basic Idea of External Sorting run 1 run 2 run 3 run 4 run 5 run 6 750 records 750 records 750 records 750 records 750 records 750 records run 1 run 2 run 3 1500 records 1500 records 1500 records run 1

More information

I

I I II III (C B ) (C L ) (HL) Min c ij x ij f i y i i H j H i H s.t. y i 1, k K, i W k C B C L p (HL) x ij y i, i H, k K i, j W k x ij y i {0,1}, i, j H. K W k k H K i i f i i d ij i j r ij i j c ij r ij

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-03-03 한국통신학회논문지 '10-03 Vol. 35 No. 3 원활한 채널 변경을 지원하는 효율적인 IPTV 채널 관리 알고리즘 준회원 주 현 철*, 정회원 송 황 준* Effective IPTV Channel Control Algorithm Supporting Smooth Channel Zapping HyunChul Joo* Associate

More information

04 최진규.hwp

04 최진규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Aug.; 26(8), 710717. http://dx.doi.org/10.5515/kjkiees.2015.26.8.710 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) RF ESPAR

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

À¯Çõ Ãâ·Â

À¯Çõ Ãâ·Â Network Virtualization Techniques for Future Internet Services in cloud computing are based on network virtualization that provides both flexibility and network isolation. Network virtualization consists

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 26, no. 9, Sep GHz 10 W Doherty. [4]. Doherty. Doherty, C THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(9), 783 789. http://dx.doi.org/10.5515/kjkiees.2015.26.9.783 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) GaN-HEMT

More information

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770>

<35335FBCDBC7D1C1A42DB8E2B8AEBDBAC5CDC0C720C0FCB1E2C0FB20C6AFBCBA20BAD0BCAE2E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 15, No. 2 pp. 1051-1058, 2014 http://dx.doi.org/10.5762/kais.2014.15.2.1051 멤리스터의 전기적 특성 분석을 위한 PSPICE 회로 해석 김부강 1, 박호종 2, 박용수 3, 송한정 1*

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 박건수 *, 서태영 **, 김종욱 *** ". 요약 Abstract The induction melting furnace using electric generator has been introduced since 1920s, and it began to be widely applied to industrial applications due to increasing

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

슬라이드 1

슬라이드 1 CHAP 6: 큐 yicho@gachon.ac.kr 1 큐 (QUEUE) 큐 : 먼저들어온데이터가먼저나가는자료구조 선입선출 (FIFO: First-In First-Out) ( 예 ) 매표소의대기열 Ticket Box 전단 () 후단 () 2 큐 ADT 삽입과삭제는 FIFO 순서를따른다. 삽입은큐의후단에서, 삭제는전단에서이루어진다. 객체 : n 개의 element

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

09È«¼®¿µ 5~152s

09È«¼®¿µ5~152s Korean Journal of Remote Sensing, Vol.23, No.2, 2007, pp.45~52 Measurement of Backscattering Coefficients of Rice Canopy Using a Ground Polarimetric Scatterometer System Suk-Young Hong*, Jin-Young Hong**,

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 9) . T 플립플롭으로구성된순서논리회로의해석 () 변수명칭부여 F-F 플립플롭의입력 :, F-F 플립플롭의출력 :, (2) 불대수식유도 플립플롭의입력 : F-F 플립플롭의입력 : F-F 플립플롭의출력 : (3) 상태표작성 이면,

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Mar.; 30(3), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Mar.; 30(3), 223 228. http://dx.doi.org/10.5515/kjkiees.2019.30.3.223 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Analysis

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Microsoft PowerPoint - [2009] 02.pptx

Microsoft PowerPoint - [2009] 02.pptx 원시데이터유형과연산 원시데이터유형과연산 원시데이터유형과연산 숫자데이터유형 - 숫자데이터유형 원시데이터유형과연산 표준입출력함수 - printf 문 가장기본적인출력함수. (stdio.h) 문법 ) printf( Test printf. a = %d \n, a); printf( %d, %f, %c \n, a, b, c); #include #include

More information

歯1.PDF

歯1.PDF 200176 .,.,.,. 5... 1/2. /. / 2. . 293.33 (54.32%), 65.54(12.13%), / 53.80(9.96%), 25.60(4.74%), 5.22(0.97%). / 3 S (1997)14.59% (1971) 10%, (1977).5%~11.5%, (1986)

More information

Microsoft PowerPoint - 08-chap06-Queue.ppt

Microsoft PowerPoint - 08-chap06-Queue.ppt / 큐 (QUEUE) Chapter 큐 : 먼저들어온데이터가먼저나가는자료구조 선입선출 (FIFO: First-In First-Out) ( 예 ) 매표소의대기열 큐 Ticket ox Dongwon Jeong djeong@kunsan.ac.kr Department of Kunsan National University 전단 () 후단 () 학습목표 큐 DT 큐의개념및추상데이터타입에대한이해

More information

Microsoft PowerPoint - 08-Queue.ppt

Microsoft PowerPoint - 08-Queue.ppt Chapter Queue ( 큐 ) Dongwon Jeong djeong@kunsan.ac.kr Department of Informatics & Statistics 학습목표 큐의개념및추상데이터타입에대한이해 큐의구현방법 배열 링크드리스트 덱 / 데크의개념과구현방법 큐 (QUEUE) 큐 : 먼저들어온데이터가먼저나가는자료구조 선입선출 (FIFO: First-In

More information

슬라이드 제목 없음

슬라이드 제목 없음 2006-09-27 경북대학교컴퓨터공학과 1 제 5 장서브넷팅과슈퍼넷팅 서브넷팅 (subnetting) 슈퍼넷팅 (Supernetting) 2006-09-27 경북대학교컴퓨터공학과 2 서브넷팅과슈퍼넷팅 서브넷팅 (subnetting) 하나의네트워크를여러개의서브넷 (subnet) 으로분할 슈퍼넷팅 (supernetting) 여러개의서브넷주소를결합 The idea

More information

2002년 2학기 자료구조

2002년 2학기 자료구조 자료구조 (Data Structures) Chapter 1 Basic Concepts Overview : Data (1) Data vs Information (2) Data Linear list( 선형리스트 ) - Sequential list : - Linked list : Nonlinear list( 비선형리스트 ) - Tree : - Graph : (3)

More information

강의 개요

강의 개요 DDL TABLE 을만들자 웹데이터베이스 TABLE 자료가저장되는공간 문자자료의경우 DB 생성시지정한 Character Set 대로저장 Table 생성시 Table 의구조를결정짓는열속성지정 열 (Clumn, Attribute) 은이름과자료형을갖는다. 자료형 : http://dev.mysql.cm/dc/refman/5.1/en/data-types.html TABLE

More information

05(533-537) CPLV12-04.hwp

05(533-537) CPLV12-04.hwp 모바일 OS 환경의 사용자 반응성 향상 기법 533 모바일 OS 환경의 사용자 반응성 향상 기법 (Enhancing Interactivity in Mobile Operating Systems) 배선욱 김정한 (Sunwook Bae) 엄영익 (Young Ik Eom) (Junghan Kim) 요 약 사용자 반응성은 컴퓨팅 시스템에서 가장 중요 한 요소 중에 하나이고,

More information

04 김영규.hwp

04 김영규.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 214 Nov.; 25(11), 1121 1127. http://dx.doi.org/1.5515/kjkiees.214.25.11.1121 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Planar

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

<3135C8A3B3EDB9AE303333312DBCF6C1A42E687770>

<3135C8A3B3EDB9AE303333312DBCF6C1A42E687770> 기업파티 공간연출 분석에 관한 연구 -국내 기업파티 사례 중심으로- A Study on the Analysis of Space Display for Company Party -Focusing on the parties of domestic companies- 백승범 / 100-스타일 대표 Paik Seung beom / 100-style President 김주연

More information

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti

., 3D HDTV. 3D HDTV,, 2 (TTA) [] 3D HDTV,,, /. (RAPA) 3DTV [2] 3DTV, 3DTV, DB(, / ), 3DTV. ATSC (Advanced Television Systems Committee) 8-VSB (8-Vesti ATSC a), a) A Carrier Frequency Synchronization Scheme for modified ATSC Systems Young Gon Jeon a) and Joon Tae Kim a) 3D HDTV (3-Dimensional High Definition Television). 3D HDTV HDTV ATSC (Advanced Television

More information

½Éº´È¿ Ãâ·Â

½Éº´È¿ Ãâ·Â Standard and Technology of Full-Dimension MINO Systems in LTE-Advances Pro Massive MIMO has been studied in academia foreseeing the capacity crunch in the coming years. Presently, industry has also started

More information

, ( ) 1) *.. I. (batch). (production planning). (downstream stage) (stockout).... (endangered). (utilization). *

, ( ) 1) *.. I. (batch). (production planning). (downstream stage) (stockout).... (endangered). (utilization). * , 40 12 (2006 6) 1) *.. I. (batch). (production planning). (downstream stage) (stockout).... (endangered). (utilization). * 40, 40 12 (EPQ; economic production quantity). (setup cost) (setup time) Bradley

More information

<32382DC3BBB0A2C0E5BED6C0DA2E687770>

<32382DC3BBB0A2C0E5BED6C0DA2E687770> 논문접수일 : 2014.12.20 심사일 : 2015.01.06 게재확정일 : 2015.01.27 청각 장애자들을 위한 보급형 휴대폰 액세서리 디자인 프로토타입 개발 Development Prototype of Low-end Mobile Phone Accessory Design for Hearing-impaired Person 주저자 : 윤수인 서경대학교 예술대학

More information

Microsoft PowerPoint - ch09 - 연결형리스트, Stack, Queue와 응용 pm0100

Microsoft PowerPoint - ch09 - 연결형리스트, Stack, Queue와 응용 pm0100 2015-1 프로그래밍언어 9. 연결형리스트, Stack, Queue 2015 년 5 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) 연결리스트 (Linked List) 연결리스트연산 Stack

More information

인문사회과학기술융합학회

인문사회과학기술융합학회 Vol.5, No.5, October (2015), pp.471-479 http://dx.doi.org/10.14257/ajmahs.2015.10.50 스마트온실을 위한 가상 외부기상측정시스템 개발 한새론 1), 이재수 2), 홍영기 3), 김국환 4), 김성기 5), 김상철 6) Development of Virtual Ambient Weather Measurement

More information

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp

3. 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발.hwp 보안공학연구논문지 Journal of Security Engineering Vol.11, No.4 (2014), pp.299-312 http://dx.doi.org/10.14257/jse.2014.08.03 클라우드 컴퓨팅 상호 운용성 기반의 서비스 평가 방법론 개발 이강찬 1), 이승윤 2), 양희동 3), 박철우 4) Development of Service

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jun.; 27(6), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2016 Jun.; 27(6), 495 503. http://dx.doi.org/10.5515/kjkiees.2016.27.6.495 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

04-다시_고속철도61~80p

04-다시_고속철도61~80p Approach for Value Improvement to Increase High-speed Railway Speed An effective way to develop a highly competitive system is to create a new market place that can create new values. Creating tools and

More information

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770>

<333820B1E8C8AFBFEB2D5A6967626565B8A620C0CCBFEBC7D120BDC7BFDC20C0A7C4A1C3DFC1A42E687770> Journal of the Korea Academia-Industrial cooperation Society Vol. 13, No. 1 pp. 306-310, 2012 http://dx.doi.org/10.5762/kais.2012.13.1.306 Zigbee를 이용한 실외 위치추정 시스템 구현 김환용 1*, 임순자 1 1 원광대학교 전자공학과 Implementation

More information

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월

지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., KOSPI200.,. * 지능정보연구제 16 권제 1 호 2010 년 3 월 지능정보연구제 16 권제 1 호 2010 년 3 월 (pp.71~92),.,.,., Support Vector Machines,,., 2004 5 2009 12 KOSPI200.,. * 2009. 지능정보연구제 16 권제 1 호 2010 년 3 월 김선웅 안현철 社 1), 28 1, 2009, 4. 1. 지능정보연구제 16 권제 1 호 2010 년 3 월 Support

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4)

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 10, Oct ,,. 0.5 %.., cm mm FR4 (ε r =4.4) THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Oct.; 29(10), 799 804. http://dx.doi.org/10.5515/kjkiees.2018.29.10.799 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Method

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

Chapter 4. LISTS

Chapter 4. LISTS C 언어에서리스트구현 리스트의생성 struct node { int data; struct node *link; ; struct node *ptr = NULL; ptr = (struct node *) malloc(sizeof(struct node)); Self-referential structure NULL: defined in stdio.h(k&r C) or

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA The e-business Studies Volume 17, Number 6, December, 30, 2016:275~289 Received: 2016/12/02, Accepted: 2016/12/22 Revised: 2016/12/20, Published: 2016/12/30 [ABSTRACT] SNS is used in various fields. Although

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

슬라이드 1

슬라이드 1 CHP 6: 큐 C 로쉽게풀어쓴자료구조 생능출판사 2005 큐 (QUEUE) 큐 : 먼저들어온데이터가먼저나가는자료구조 선입선출 (FIFO: First-In First-Out) ( 예 ) 매표소의대기열 Ticket Box 전단 () 후단 () 큐 DT 삽입과삭제는 FIFO 순서를따른다. 삽입은큐의후단에서, 삭제는전단에서이루어진다. 객체 : n 개의 element

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

Switching

Switching Switching 강의의목표 Switching/Switching Network의필요성을이해한다. 세가지대표적교환기술에열거하고그차이를설명할수있다. 각교환기술의장, 단점을비교하여설명할수있다. Packet Switching 에서 Fairness 문제와 Pipelining 을 패킷크기와연계하여설명할수있다. Soft Switch 개념을이해하고설명할수있다. 교재 Chapter

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Feb.; 29(2), IS THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Feb.; 29(2), 93 98. http://dx.doi.org/10.5515/kjkiees.2018.29.2.93 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) UHF-HF

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국소음진동공학회 2015추계학술대회논문집년 Study of Noise Pattern and Psycho-acoustics Characteristic of Household Refrigerator * * ** ** Kyung-Soo Kong, Dae-Sik Shin, Weui-Bong Jeong, Tae-Hoon Kim and Se-Jin Ahn Key Words

More information

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770>

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770> 북한의 주요 농업 관련 법령 해설 1) 이번 호와 다음 호에서는 북한의 주요 농업 관련 법령을 소개하려 한다. 북한의 협동농장은 농업협동조합기준규약초안 과 농장법 에 잘 규정되어 있다. 북한 사회주의 농업정책은 사회 주의농촌문제 테제 2), 농업법, 산림법 등을 통해 엿볼 수 있다. 국가계획과 농업부문의 관 계, 농산물의 공급에 관해서는 인민경제계획법, 사회주의상업법,

More information

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 (1920~1945 ) 1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 1918 4 1930 1933 1 932 70 8 0 1938 1923 3 1 3 1 1923 3 1920 1926 1930 3 70 71

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

0125_ 워크샵 발표자료_완성.key

0125_ 워크샵 발표자료_완성.key WordPress is a free and open-source content management system (CMS) based on PHP and MySQL. WordPress is installed on a web server, which either is part of an Internet hosting service or is a network host

More information

歯I-3_무선통신기반차세대망-조동호.PDF

歯I-3_무선통신기반차세대망-조동호.PDF KAIST 00-03-03 / #1 1. NGN 2. NGN 3. NGN 4. 5. 00-03-03 / #2 1. NGN 00-03-03 / #3 1.1 NGN, packet,, IP 00-03-03 / #4 Now: separate networks for separate services Low transmission delay Consistent availability

More information

RVC Robot Vaccum Cleaner

RVC Robot Vaccum Cleaner RVC Robot Vacuum 200810048 정재근 200811445 이성현 200811414 김연준 200812423 김준식 Statement of purpose Robot Vacuum (RVC) - An RVC automatically cleans and mops household surface. - It goes straight forward while

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 A 반 T2 - 김우빈 (201011321) 임국현 (201011358) 박대규 (201011329) Robot Vacuum Cleaner 1 Motor Sensor RVC Control Cleaner Robot Vaccum Cleaner 2 / Event Format/ Type Front Sensor RVC 앞의장애물의유무를감지한다. True / False,

More information

融合先验信息到三维重建 组会报 告[2]

融合先验信息到三维重建  组会报 告[2] [1] Crandall D, Owens A, Snavely N, et al. "Discrete-continuous optimization for large-scale structure from motion." (CVPR), 2011 [2] Crandall D, Owens A, Snavely N, et al. SfM with MRFs: Discrete-Continuous

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 26(10), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Sep.; 26(10), 876 884. http://dx.doi.org/10.5515/kjkiees.2015.26.10.876 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) Design

More information

untitled

untitled Logic and Computer Design Fundamentals Chapter 4 Combinational Functions and Circuits Functions of a single variable Can be used on inputs to functional blocks to implement other than block s intended

More information

27 2, 1-16, * **,,,,. KS,,,., PC,.,,.,,. :,,, : 2009/08/12 : 2009/09/03 : 2009/09/30 * ** ( :

27 2, 1-16, * **,,,,. KS,,,., PC,.,,.,,. :,,, : 2009/08/12 : 2009/09/03 : 2009/09/30 * ** ( : 27 2, 1-16, 2009. * **,,,,. KS,,,., PC,.,,.,,. :,,, : 2009/08/12 : 2009/09/03 : 2009/09/30 * ** (: jjhkim@cau.ac.kr) 2 한국교육문제연구제 27 권 2 호, 2009 Ⅰ.,. 2008 3,536, 10 99.9% (, 2008). PC,, (, 2007). (, 2008),.,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jul.; 27(7), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 16 Jul.; 27(7), 64662. http://dx.doi.org/./kjkiees.16.27.7.646 ISSN 1226-3133 (Print)ISSN 2288-226 (Online) 2D Microwave Image

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Jan.; 26(1), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2015 Jan.; 26(1), 113118. http://dx.doi.org/10.5515/kjkiees.2015.26.1.113 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) A Retro-Directive

More information

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015) ISSN

(JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, (JBE Vol. 20, No. 6, November 2015)   ISSN (JBE Vol. 20, No. 6, November 2015) (Regular Paper) 20 6, 2015 11 (JBE Vol. 20, No. 6, November 2015) http://dx.doi.org/10.5909/jbe.2015.20.6.880 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) Frame

More information

°¡°Ç6¿ù³»ÁöÃÖÁ¾

°¡°Ç6¿ù³»ÁöÃÖÁ¾ J 2007. 6 J J J J J J J J Special J Special J J Special 01 02 03 04 05 06 07 J J Special J J Special J Special J J Special J J Special J J Special J J Special J J J J J J J J J J J J J J J J J J J J

More information

다른 JSP 페이지호출 forward() 메서드 - 하나의 JSP 페이지실행이끝나고다른 JSP 페이지를호출할때사용한다. 예 ) <% RequestDispatcher dispatcher = request.getrequestdispatcher(" 실행할페이지.jsp");

다른 JSP 페이지호출 forward() 메서드 - 하나의 JSP 페이지실행이끝나고다른 JSP 페이지를호출할때사용한다. 예 ) <% RequestDispatcher dispatcher = request.getrequestdispatcher( 실행할페이지.jsp); 다른 JSP 페이지호출 forward() 메서드 - 하나의 JSP 페이지실행이끝나고다른 JSP 페이지를호출할때사용한다. 예 ) RequestDispatcher dispatcher = request.getrequestdispatcher(" 실행할페이지.jsp"); dispatcher.forward(request, response); - 위의예에서와같이 RequestDispatcher

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

(5차 편집).hwp

(5차 편집).hwp (215), 54(1), 17-3 211 STEAM,.. STEAM, STEAM, 5~6 11.,., 5~6...,. (, 21)., 29. (,, 212). 211 STEAM * :, E-mail: njkwon@hanmail.net http://dx.doi.org/1.15812/ter.54.1.2153.17 (215), 54(1), 17-3,. (Arts)

More information

878 Yu Kim, Dongjae Kim 지막 용량수준까지도 멈춤 규칙이 만족되지 않아 시행이 종료되지 않는 경우에는 MTD의 추정이 불가 능하다는 단점이 있다. 최근 이 SM방법의 단점을 보완하기 위해 O Quigley 등 (1990)이 제안한 CRM(Continu

878 Yu Kim, Dongjae Kim 지막 용량수준까지도 멈춤 규칙이 만족되지 않아 시행이 종료되지 않는 경우에는 MTD의 추정이 불가 능하다는 단점이 있다. 최근 이 SM방법의 단점을 보완하기 위해 O Quigley 등 (1990)이 제안한 CRM(Continu 한 국 통 계 학 회 논 문 집 2012, 19권, 6호, 877 884 DOI: http://dx.doi.org/10.5351/ckss.2012.19.6.877 Maximum Tolerated Dose Estimation Applied Biased Coin Design in a Phase Ⅰ Clinical Trial Yu Kim a, Dongjae Kim

More information

6.24-9년 6월

6.24-9년 6월 리눅스 환경에서Solid-State Disk 성능 최적화를 위한 디스크 입출력요구 변환 계층 김태웅 류준길 박찬익 Taewoong Kim Junkil Ryu Chanik Park 포항공과대학교 컴퓨터공학과 {ehoto, lancer, cipark}@postech.ac.kr 요약 SSD(Solid-State Disk)는 여러 개의 낸드 플래시 메모리들로 구성된

More information

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770>

<BFACBDC0B9AEC1A6C7AEC0CC5F F E687770> IT OOKOOK 87 이론, 실습, 시뮬레이션 디지털논리회로 ( 개정 3 판 ) (Problem Solutions of hapter 7) . 반감산기와전감산기를설계 반감산기반감산기는한비트의 2진수 에서 를빼는회로이며, 두수의차 (difference, ) 와빌림수 (barrow, ) 를계산하는뺄셈회로이다. 에서 를뺄수없으면윗자리에서빌려와빼야하며, 이때빌려오는수는윗자리에서가져오므로

More information

본문

본문 Handover Gateway System: A Cell-edge Performance Booster for Next Generation Cellular Mobile Network Eui Chang Jung, Hyun Seok Ryu, Chung G. Kang Dept of Computer Electrical Engineering, Korea University

More information

윈도우즈프로그래밍(1)

윈도우즈프로그래밍(1) 제어문 (2) For~Next 문 윈도우즈프로그래밍 (1) ( 신흥대학교컴퓨터정보계열 ) 2/17 Contents 학습목표 프로그램에서주어진특정문장을부분을일정횟수만큼반복해서실행하는문장으로 For~Next 문등의구조를이해하고활용할수있다. 내용 For~Next 문 다중 For 문 3/17 제어문 - FOR 문 반복문 : 프로그램에서주어진특정문장들을일정한횟수만큼반복해서실행하는문장

More information

05 목차(페이지 1,2).hwp

05 목차(페이지 1,2).hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2014 Oct.; 25(10), 10771086. http://dx.doi.org/10.5515/kjkiees.2014.25.10.1077 ISSN 1226-3133 (Print)ISSN 2288-226X (Online)

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 논문 10-35-08-15 한국통신학회논문지 '10-08 Vol.35 No. 8 건설생산성 향상을 위한 건설현장 내 RFID 네트워크 시스템 적용 방안 준회원 김 신 구*, 정회원 이 충 희*, 이 성 형*, 종신회원 김 재 현* Method of RFID Network System Application for Improving of Construction

More information

자기공명영상장치(MRI) 자장세기에 따른 MRI 품질관리 영상검사의 개별항목점수 실태조사 A B Fig. 1. High-contrast spatial resolution in phantom test. A. Slice 1 with three sets of hole arr

자기공명영상장치(MRI) 자장세기에 따른 MRI 품질관리 영상검사의 개별항목점수 실태조사 A B Fig. 1. High-contrast spatial resolution in phantom test. A. Slice 1 with three sets of hole arr Original Article pissn 1738-2637 J Korean Soc Radiol 2012;67(2):129-134 The Survey of Magnetic Resonance Imaging Quality according to in Korea 1 자기공명영상장치(MRI) 자장세기에 따른 MRI 품질관리 영상검사의 개별항목점수 실태조사 1 Hyun-Hae

More information

#유한표지F

#유한표지F www.yuhan.ac.kr www.yuhan.ac.kr 대 학 요 람 2008 422-749 경기도 부천시 소사구 경인로 636(괴안동 185-34) Tel : 02)2610-0600 / 032)347-0600 유 한 대 학 대학요람 2008 2008 대학요람 설립자 유일한 박사의 숭고한 정신과 철학을 실천하는 대학 눈으로 남을 볼 줄 아는 사람은 훌륭한

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

2 : (JEM) QTBT (Yong-Uk Yoon et al.: A Fast Decision Method of Quadtree plus Binary Tree (QTBT) Depth in JEM) (Special Paper) 22 5, (JBE Vol. 2

2 : (JEM) QTBT (Yong-Uk Yoon et al.: A Fast Decision Method of Quadtree plus Binary Tree (QTBT) Depth in JEM) (Special Paper) 22 5, (JBE Vol. 2 (Special Paper) 22 5, 2017 9 (JBE Vol. 22, No. 5, Sepember 2017) https://doi.org/10.5909/jbe.2017.22.5.541 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) (JEM) a), a), a) A Fast Decision Method of Quadtree

More information

08김현휘_ok.hwp

08김현휘_ok.hwp (Regular Paper) 21 3, 2016 5 (JBE Vol. 21, No. 3, May 2016) http://dx.doi.org/10.5909/jbe.2016.21.3.369 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) a), a) An Audio Coding Technique Employing the Inter-channel

More information

19_9_767.hwp

19_9_767.hwp (Regular Paper) 19 6, 2014 11 (JBE Vol. 19, No. 6, November 2014) http://dx.doi.org/10.5909/jbe.2014.19.6.866 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) RGB-Depth - a), a), b), a) Real-Virtual Fusion

More information