Microsoft Word - SAM7S_BoardManual_060125_v13_.doc

Size: px
Start display at page:

Download "Microsoft Word - SAM7S_BoardManual_060125_v13_.doc"

Transcription

1 SAM7SXX Mini Board SAM7SXX Mini Board Manual Ver. PCB Ver.0, Ver. 공용 SAM7S Rom Code Revision 00 Copyright 00 Digiparts, Inc. All Rights Reserved. Sales : Q&A : Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

2 차례 SAM7SXX Mini Board. CPU Specific.. SAM7SXX Mini Board Specific.. SAM7SXX Mini Board 설명.. SAM7SXX Mini Board 사양.. SAM7SXX Mini Board 외부핀커넥터.. SAM7SXX Mini Board 사용상주의사항.. SAM7SXX Mini Board 제품구성.. SAM-BA Application Manual.. SAM-BA PC Program 설치.. SAM-BA 와 Target 연결.. SAM-BA 프로그램을이용한 F/W Update.. SAM-BA BootLoader 복원.. SAM-BA 기타.. SAM7SXX BootLoader Manual.. WinDE Program 설치.. Target 연결.. SAM-BA 을이용한 BootLoader Write.. WinDE 와연동.. Board Schematic. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

3 . CPU Specific. SAM7SXX Mini Board Features Incorporates the ARM7TDMI ARM Thumb Processor High-performance -bit RISC Architecture High-density 6-bit Instruction Set Leader in MIPS/Watt Embedded ICE In-circuit Emulation, Debug Communication Channel Support Internal High-speed Flash 6 kbytes, organized in 0 Pages of 6 Bytes (AT9SAM7S6) 8 kbytes, organized in Pages of 6 Bytes (AT9SAM7S8) 6 kbytes, organized in Pages of 8 Bytes (AT9SAM7S6) kbytes, organized in 6 Pages of 8 Bytes (AT9SAM7S/) Single Cycle Access at Up to 0 MHz in Worst Case Conditions Prefetch Buffer Optimizing Thumb Instruction Execution at Maximum Speed Page Programming Time: 6 ms, Including Page Auto-erase, Full Erase Time: ms 0,000 Write Cycles, 0-year Data Retention Capability, Sector Lock Capabilities, Flash Security Bit Fast Flash Programming Interface for High Volume Production Internal High-speed SRAM, Single-cycle Access at Maximum Speed 6 kbytes (AT9SAM7S6) kbytes (AT9SAM7S8) 6 kbytes (AT9SAM7S6) 8 kbytes (AT9SAM7S/) Memory Controller (MC) Embedded Flash Controller, Abort Status and Misalignment Detection Reset Controller (RSTC) Based on Power-on Reset and Low-power Factory-calibrated Brown-out Detector Provides External Reset Signal Shaping and Reset Source Status Clock Generator (CKGR) Low-power RC Oscillator, to 0 MHz On-chip Oscillator and one PLL Power Management Controller (PMC) Software Power Optimization Capabilities, Including Slow Clock Mode (Down to 00 Hz) and Idle Mode Three Programmable External Clock Signals Advanced Interrupt Controller (AIC) Individually Maskable, Eight-level Priority, Vectored Interrupt Sources Two (AT9SAM7S6/8/6/) or One (AT9SAM7S) External Interrupt Sources and One Fast Interrupt Source, Spurious Interrupt Protected Debug Unit (DBGU) -wire UART and Support for Debug Communication Channel interrupt, Programmable ICE Access Prevention Periodic Interval Timer (PIT) 0-bit Programmable Counter plus -bit Interval Counter Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

4 SAM7SXX Mini Board Windowed Watchdog (WDT) -bit key-protected Programmable Counter Provides Reset or Interrupt Signals to the System Counter May Be Stopped While the Processor is in Debug State or in Idle Mode Real-time Timer (RTT) -bit Free-running Counter with Alarm Runs Off the Internal RC Oscillator One Parallel Input/Output Controller (PIOA) Thirty-two (AT9SAM7S6/8/6/) or twenty-one (AT9SAM7S) Programmable I/O Lines Multiplexed with up to Two Peripheral I/Os Input Change Interrupt Capability on Each I/O Line Individually Programmable Open-drain, Pull-up resistor and Synchronous Output Eleven (AT9SAM7S6/8/6/) or Nine (AT9SAM7S) Peripheral DMA Controller (PDC) Channels One USB.0 Full Speed ( Mbits per Second) Device Port (Except for the AT9SAM7S). On-chip Transceiver, 8-byte Configurable Integrated FIFOs One Synchronous Serial Controller (SSC) Independent Clock and Frame Sync Signals for Each Receiver and Transmitter I²S Analog Interface Support, Time Division Multiplex Support High-speed Continuous Data Stream Capabilities with -bit Data Transfer Two (AT9SAM7S6/8/6/) or One (AT9SAM7S) Universal Synchronous/Asynchronous Receiver Transmitters (USART) Individual Baud Rate Generator, IrDA Infrared Modulation/Demodulation Support for ISO786 T0/T Smart Card, Hardware Handshaking, RS8 Support Manchester Encoder/Decoder (AT9SAM7S6/8) Full Modem Line Support on USART (AT9SAM7S6/8/6/) One Master/Slave Serial Peripheral Interface (SPI) 8- to 6-bit Programmable Data Length, Four External Peripheral Chip Selects One Three (AT9SAM7S6/8/6/)-channel or Two (AT9SAM7S)-channel 6-bit Timer/Counter (TC) Three (AT9SAM7S6/8/6/) or One (AT9SAM7S) External Clock Inputs, Two Multi-purpose I/O Pins per Channel Double PWM Generation, Capture/Waveform Mode, Up/Down Capability One Four-channel 6-bit PWM Controller (PWMC) One Two-wire Interface (TWI) Master Mode Support Only, All Two-wire Atmel EEPROMs Supported One 8-channel 0-bit Analog-to-Digital Converter, Four Channels Multiplexed with Digital I/Os SAM-BA Boot Assistant Default Boot program Interface with SAM-BA Graphic User Interface IEEE 9. JTAG Boundary Scan on All Digital Pins V-tolerant I/Os, including Four High-current Drive I/O lines, Up to 6 ma Each Power Supplies Embedded.8V Regulator, Drawing up to 00 ma for the Core and External Components.V or.8v VDDIO I/O Lines Power Supply, Independent.V VDDFLASH Flash Power Supply.8V VDDCORE Core Power Supply with Brown-out Detector Fully Static Operation: Up to MHz at.6v and 8 C Worst Case Conditions Available in a 6-lead LQFP Green Package (AT9SAM7S6/8/6/) and 8-lead LQFP Green Package (AT9SAM7S) Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

5 SAM7SXX Mini Board SAM7SXX 주요특징요약. - 내부 Program 용 Flash 와 Data 용 SRAM 이내장되어있다. - 내부 Flash 는 0,000 번까지 Write 가능하다. - 내부 Flash 는 0Mhz 까지 0 wait 로동작가능하며내부 SRAM 은 Mhz 에서 0wait 로동작가능하다. - ATMEL 내부 Register 는 중구조로 set 명령과 clear 명령이분리되어내부 Peripheral 접근시매우빠르게동작시킬수있다. - 개의 DBG UART 와 개의흐름제어를지원하는 UART 을내장하고있다. - 개의 USB.0 Client Peripheral 을내장하고있다. - I/O 는 V Tolerant 가능하다. - 내부.8V Regulator 가탑재되어있어외부.V Regulator 개로동작가능하다. - 최악의상황에서 Mhz 의고속동작이보장된다. - 현재 SAM7S 버전만 8 핀이며 6,8,6 은모두 6 핀형태이다. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

6 . SAM7SXX Mini Board Specific. SAM7SXX Mini Board. SAM7SXX Mini Board 설명. - 저전력고성능의 -bit ARM 프로세서를장착한보드로서소형로봇및고속연산용 Microcontroller 로적당함 - 저가의 ARM7 테스트보드. - CPU 변경만으로 6, 8, 6 버전사용가능. - USB Mini Socket 으로 PC 로부터전원을제공받고 PC 프로그램인 SAM-BA 을통해 F/W Download 가능. - SAM-BA Bootloader 내장으로 JTAG 장비없이개발가능. - RSC IC 을내장하고있어, PC 의 Serial Port 와연결하여사용가능. NAVER CAFE 에서각종 Q/A 지원. Atmel 홈페이지 Atmel AT9(arm 계열 cpu) 포럼. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 6 -

7 . SAM7SXX Mini Board 사양. SAM7SXX Mini Board - CPU : AT9SAM7S6, AT9SAM7S8, AT9SAM7S6, 가능. - POWER :.V LDO (800mA) - Clock : 8. MHz (PLL 동작 8Mhz) - LED : 전원확인 개, 응용프로그램용 개 - USB Mini : Board 전원공급용및다운로드용도. - DEBUG : RS 용 핀 Debug Port 개 - 외부핀형태 : pin DIP 타입 (.mm 간격 ) - 크기 : 0mm * 7mm - Switch : 리셋스위치 - Slide Switch : AT9SAM7SXX 내부 BootLoader 선택용.(PCB Ver.0) - Piano Switch : AT9SAM7SXX 내부 BootLoader 선택용및 Flash Erase.(PCB Ver.). SAM7SXX Mini Board 외부핀컨넥터. 번호 J J AD DDM AD DDP AD6 EX-.0V AD7 GND PA7 TCK 6 PA8 PA 7 PA TMS 8 PA9 TDO 9 PA PA0 0 PA PA PA0 PA PA6 PA PA PA0 PA PA9 PA PA8 6 PA PA7 7 PA PA 8 PA6 PA 9 PA PA6 0 PA TDI.V PA7 nrst PA8 Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 7 -

8 SAM7SXX Mini Board. SAM7SXX Mini Board 사용시주의사항. 주의사항. AT9SAM7SXX 는총 개의 PIO 을가지고있으며이들중 DEBUG 로사용되는 PA9, PA0 은 RS 을컨버터칩을이용하여 PIN 커넥터를 (J) 통해나가므로외부핀커넥터에 (J, J) 추가되어있지않습니다. 주의사항. PA6 은 AT9SAM7S6 의내부 BootLoader 에의해 USB Reset 용으로사용되고있습니다. 따라서사용자가 PA6 을별도의용도로사용하고자할경우보드상에 R 을제거하고, 사용하시면됩니다. (BootLoader 사용상에제약은없습니다.) PA6 을이용한설계시출력 Port 로사용하면문제없습니다. 주의사항. PA0 는보드상에 LED 와연결되어있습니다. 따라서사용자가 PA0 을별도의용도로사용하고자할경우보드상에 R 이나 LED 을제거하시고사용하시면됩니다. 주의사항. SAM-BA BootLoader 을불러올때를제외하고는 J 의 Slide Switch 는항상 Nor 쪽으로향하고있어야정상동작합니다.(PCB Ver.0) SAM-BA BootLoader 을불러올때를제외하고는 S 의 Piano Switch 는항상모두 Off 쪽으로향하고있어야정상동작합니다.(PCB Ver.) 주의사항. SAM-BA BootLoader 을불러오기위한조건으로는 J 의 Slide Switch 가 Boot 쪽으로향하고있어야하며 Power On 시에 PA0, PA, PA 가모두 HIGH 로설정돼있어야합니다. (PCB Ver.0) SAM-BA BootLoader 을불러오기위한조건으로는 S 의 Piano Switch 중 SAMBA 가 On 쪽으로향하고있어야하며 Power On 시에 PA0, PA, PA 가모두 HIGH 로설정돼있어야합니다. (PCB Ver.) Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 8 -

9 SAM7SXX Mini Board 따라서 SAM-BA 을사용하기위해서는가급적 PA0, PA,PA 을출력 Port 로사용하는게좋습니다. 입력 Port 설계시만약외부입력이 LOW 가들어오면 SAM-BA BootLoader 을사용하지못합니다. 주의사항 6. USB Cable 을이용하지않고외부전원을이용하여 Board 을동작시에는 Board 상의 L 을제거하고 J 의 번을통해서전원을제공해야합니다. 이때전원은 +V 정전원을입력하시기바랍니다.. SAM7SXX Board 제품구성. - Board : 완제품보드. - CD : ATMEL Site 에서제공하는예제및 F/W 다운로드프로그램. - USB Mini Cable : Board 전원공급및다운로드용도케이블 개. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 9 -

10 . SAM-BA Application Manual. SAM7SXX Mini Board. SAM-BA PC Program 설치. SAM-BA(Boot Assistant) 는 ATMEL 의 SAM7S Series 을위해 ATMEL 에서제공하는프로그램이다. ATMEL SAM7S Series 는내부에 BootLoader 을선택적으로나타나게하여, SAM-BA 프로그램과연동하여 F/W 업그레이드를가능하게한다. 현재 (006//) Ver.7 이 Release 되어테스트되고있으며, 이는 ATMEL FTP Site 에서나혹은 naver 카페에서다운받아설치할수있다. naver cafe 에서각종 Q/A 지원. Atmel AT9(arm 계열 cpu) 포럼. SAM-BA 와 Target 연결. 맨처음, SAM-BA 프로그램을설치하고, 프로그램을실행하면다음과같은화면이뜬다. 여기서만약 SAM7SXX Mini Board 와 PC 가 USB 로연결되어있다면그전에 PC 에서는적절한드라이버를찾으려고할것이다. 이때는자동으로찾기를선택하면 XP 가알아서 ATMEL 드라이버를찾아올리게된다.( 이전에반드시 SAMBA 프로그램이사용자의 PC 에인스톨되있어야한다 ) 첫번째는자신의보드의조건에맞게 COM Port 와 Board Type 을고른후 Serial Port 와연결되어있으면 DBGU connection 을선택하고, USB 와연결되어있으면 USB connection 을선택한다. 두번째는자신이가지고있는 Board 타입에맞게 AT9SAM7S6-EK, AT9SAM7S8-EK, AT9SAM7S6-EK 을선택한다. 여기서는예제로 AT9SAM7S6-EK 을선택한다. 주의. 만약 USB 도연결되어있고, Serial DBG 도연결되어있는상태라면, SAM7SXX 는 USB 통신을우선으로하기때문에 Serial DBGU connection 는연결되지못한다. 주의. Choose your board 에서타겟보드에맞게 CPU 을설정하지않으면 Flash 가제대로 WRITE 되지않는다. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 0 -

11 SAM7SXX Mini Board 클릭후 Board 내부의 CPU 와정상적인통신이이루어지면위와같은화면이나타나게된다.. SAM-BA 프로그램을이용한 Binary Download.( 프로그램쓰기 ) 이상태에서 Flash 에 Download 하는과정을설명하겠습니다. 먼저예제파일을컴파일한후에이때생성된 Binary 파일을이용합니다. Browse 을클릭후에생성된 Binary 을선택합니다. 그런후 SendFile 을클릭하면다음과같은메시지가나타나게됩니다. 이는 SAM7S Series 내부 Flash 의 Lock bit 을해제할것인지를묻는것입니다. 이때 No 을선택하면 Lock Bit 을해제하지못하므로 Flash Write 는더이상진행되지않습니다. 따라서 Yes 을선택합니다. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

12 SAM7SXX Mini Board 내부 Flash 에 F/W Update 가되고난후위와같은메시지가나타납니다. 이는 Flash 의내용을안전하게보호하기위해 Lock Bit 을설정할것인지를묻는것입니다. 사용자는보통 Lock Bit 을설정하기때문에 Yes 을선택한다. No 을선택해도 Flash Write 하는데문제는생기지않습니다. 위의과정이모두정상적으로진행되고나서, Compare sent file with memory 을선택하면위와같은화면이나타납니다. 정상적으로 Flash 가 Update 가되면 match exactly 가나타납니다. 위와같은방법으로 SAM-BA 프로그램을이용하여 Flash 을 Update 하는방법이있고, 그외 Test Binary 의용량이작다면 SRAM 에직접올려실행하는방법도있습니다. 여기서주의사항한가지. 위의그림과같이 Script 파일에서 Enable Security Bit 절대호기심이라도실행하지마시기바랍니다. 만약 Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

13 SAM7SXX Mini Board 실행했을경우 SAM7S CPU의 ERASE핀에점퍼를.V로날리셔야합니다. 위의 BIT는외부에서 Flash의내용을못보게하는것이목적이기때문에한번셋팅이되면정상적인방법으로는다시는 Flash영역에접근할수없습니다. ERASE핀에 HIGH을입력하여 Flash을깨끗이지우는방법뿐이없습니다. ( PCB Ver.0 ) 다만새로나온 PCB버전에서는간편하게 S Piano Swich의 ERS 을 On시키면됩니다. ( PCB Ver. ). SAM-BA BootLoader 복원. 일단위와같은방법으로 F/W Update 가이루어진후에는 SAM-BA 프로그램과 Target Board 와는더이상연동되지않습니다. 왜냐하면 BootLoader 역시내부 Flash 에올라가기때문에 F/W Update 시에지워지기때문입니다. 다시 SAM7S 의내부 BootLoader 을되돌리는방법은다음과같습니다. 아래와같이실행후 SAM-BA 프로그램을실행하여 connection 합니다. 정리하면다음과같습니다. ( PCB Ver.0 ) Power Off J SlideSw 을 Boot 방향으로 Power On (0sec 동안 wait) Power Off J SlideSw 을 Nor 방향으로. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

14 SAM7SXX Mini Board ( PCB Ver. ) Power Off S SlideSw 을 SAMBA 만 On 방향으로 (ERS 는 Off 방향 ) Power On (0sec 동안 wait) Power Off S SlideSw 을 Off 방향으로.. SAM-BA 기타. 기타 SAM-BA 관련 Q/A 나더많은기능을매뉴얼과, AT9 Forum 또는네이버카페를이용하기바랍니다. naver cafe 에서각종 Q/A 지원. Atmel AT9(arm 계열 cpu) 포럼. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

15 . SAM7SXX BootLoader Manual. SAM7SXX Mini Board. WinDE Program 설치... Font 을자신의 PC 환경에맞게설정한다. ( 굴림체 -0 Size 권장 ).. Packet Data Size 을설정한다. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - -

16 .. 자신의보드사양에맞는값을입력한다. 주의사항. 간혹첫다운로드실패히 Packet size 가엉뚱한값으로셋팅될때가있습니다. 이때다시메뉴로들어가셔서확인하시기바랍니다. SAM7S6 8 입력 SAM7S8/6 6 입력 SAM7SXX Mini Board.. Serial Port 을셋팅한다. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 6 -

17 SAM7SXX Mini Board.. Comport 는자신의 PC 환경에맞게설정한다. 속도는 00bps Data-8bit, Parity-None, Stop-bit,Echo-Off, Flow-None 설정한다.. Target 연결. Target Board 와 PC 을 Serial Cable 로연결한다. 번 GND 번 TX 번 RX 68 D-SUB FEMAL 9PIN. SAM-BA 을이용한 BootLoader Write.. Target Board 와 USB 을연결한후 SAM-BA 프로그램을실행하여, USB 로 Connection 한다.. Binary 폴더에서 BootLoader 폴더안의파일중에자신이가지고있는보드에맞는 Binary 을선택하여 Flash 에 Write 합니다. (SAM7S6 보드 ->SAM7S6_MON.BIN, SAM7S8,6 보드 ->SAM7SXX_MON.BIN) Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 7 -

18 . WinDE 와연동. SAM7SXX Mini Board.. Target 을연결하고 Binary 을다운로드한다. 빨간색원안의버튼을 Click 하면브라우저가뜨고다운로드할 Binary 을선택하면바로 Download 가진행된다. 여기서는 C: work sam7s binary winde 폴더에있는 binary 을전송해본다. 전송은 Board 의 RESET 버튼을누른후 Binary 을선택해서보내주면된다. 평상시 BootLoader 는약 초동안 download 프로그램의존재여부를확인하고, download 과정이아니라고판단하면내부 Flash 의 0x000 번지로분기한다. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 8 -

19 .. Binary 을다운로드하는과정이다. Progress Bar 채워지면다운로드가완료된다. SAM7SXX Mini Board.. 한번다운로드한 Binary 는아래와같이단축키로남아있어 Function Key 로편리하게다운로드할수있다. Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 9 -

20 . Board Schematic. SAM7SXX Mini Board Copyright 00 Digiparts Co., Inc. All rights reserved. (Manual Ver. ) - 0 -

21 +.8V +.8V D C C C9 VBUS EX-.0V C C C0 C L BEAD/608 C C +.0V 7K 7K 7K C C.7uF/6.V/A R9 R0 R 0pF 0pF C + C 0 R X-TAL (SMD) nf X 8. C6.uF/6.V/A + C7 C8 nf JTAGSEL TCK TMS TDO TDI ADVREF AD AD AD6 AD7 ITS-6 nrst TST U 7 VDDIN 8 VDDOUT 6 VDDPLL VDDCORE VDDCORE VDDCORE VDDIO 8 VDDIO 8 VDDIO 9 VDDFLASH 0 JTAGSEL TCK TMS 9 TDO TDI ADVREF AD AD AD6 6 AD7 6 XIN 6 XOUT 6 PLLRC 9 NRST 0 TST ERASE 7 GND 60 GND 6 GND GND SAM7S6~ PWM0/TIOA0_PA0 8 PWM/TIOB0_PA 7 PWM/SCK0_PA TWD/NPCS_PA TWCK/TCLK0_PA 6 RXD0/NPCS_PA TXD0/PCK0_PA6 RTS0/PWM_PA7 CTS0/PWM_PA8 DRXD/NPCS_PA9 0 DTXD/NPCS_PA0 9 NPCS0/PWM0_PA 8 MISO/PWM_PA 7 MOSI/PWM_PA SPCK/PWM_PA TF/TIOA_PA 0 TK/TIOB_PA6 9 TD/PCK/AD0_PA7 9 RD/PCK/AD_PA8 0 RK/FIQ/AD_PA9 RF/IRQ0/AD_PA0 6 RXD/PCK_PA TXD/NCPS_PA SCK/PWM0_PA RTS/PWM_PA CTS/PWM_PA DCD/TIOA_PA6 6 DTR/TIOB_PA7 7 DSR/TCLK_PA8 8 RI/TCLK_PA9 IRQ/NPCS_PA0 NPCS/PCK_PA DDP 7 DDM 6 DDP DDM PA0 PA PA PA PA PA PA6 PA7 PA8 PA9 PA0 PA PA PA PA PA PA6 PA7 PA8 PA9 PA0 PA PA PA PA PA PA6 PA7 PA8 PA9 PA0 PA 7 R 7 R6 LED R 00K H->L (After Reset) GLED/0CHIP R 0 R 0 G S D VBUS DDP USB ID : Float : Slave USB ID : GND : Host C6 pf Q IRLML60 R.K High-Z->Pull UP (After Reset) U GND ID D+ D- VBUS USB Mini-B REC D C C7 0nF.K R7 C8 C9 pf pf LED R8 0 YLED/0CHIP B A 0uF/6.V/A +.0V + C C U LM7-./SOT VIN VOUT GND/ADJ 0uF/6.V/A + C C JA A A A SLIDE SW. Pitch(DIP) TST PA9 PA0 H:TEST MODE C0 C6 U RO TI 9 RO 0 TI CP CN CP CN VP VN 6 JA RI TO RI 8 TO 7 VCC 6 GND A A A 68_0 RS-RX0 RS-TX0 C RS-TX0 RS-RX0 C8 C7 J AD AD AD6 AD7 PA7 PA8 PA PA9 PA PA PA0 PA6 PA PA PA PA PA PA6 PA PA nrst J DIP P DIP P. Pitch(DIP). Pitch(DIP) DDM DDP EX-.0V TCK PA TMS TDO PA0 PA PA PA PA0 PA9 PA8 PA7 PA PA PA6 TDI PA7 PA8 B A SPECY Title AT9SAM7S6~6 Size Document Number Rev A Copyright (c) 00 FirePooh. All Rights Reserved..0 Date: Thursday, September 08, 00 Sheet of

22 +.8V +.8V D C C C9 VBUS EX-.0V C C C0 C L BEAD/608 C C +.0V 7K 7K 7K C C.7uF/6.V/A R9 R0 R 0pF 0pF C + C 0 R X-TAL (SMD) nf X 8. C6.uF/6.V/A + C7 C8 nf JTAGSEL TCK TMS TDO TDI ADVREF AD AD AD6 AD7 ITS nrst 9 TST 0 ERASE U VDDIN VDDOUT VDDPLL VDDCORE VDDCORE VDDCORE VDDIO VDDIO VDDIO VDDFLASH JTAGSEL TCK TMS TDO TDI ADVREF AD AD AD6 AD7 XIN XOUT PLLRC NRST TST ERASE GND GND GND GND SAM7S6~ PWM0/TIOA0_PA0 8 PWM/TIOB0_PA 7 PWM/SCK0_PA TWD/NPCS_PA TWCK/TCLK0_PA 6 RXD0/NPCS_PA TXD0/PCK0_PA6 RTS0/PWM_PA7 CTS0/PWM_PA8 DRXD/NPCS_PA9 0 DTXD/NPCS_PA0 9 NPCS0/PWM0_PA 8 MISO/PWM_PA 7 MOSI/PWM_PA SPCK/PWM_PA TF/TIOA_PA 0 TK/TIOB_PA6 9 TD/PCK/AD0_PA7 9 RD/PCK/AD_PA8 0 RK/FIQ/AD_PA9 RF/IRQ0/AD_PA0 6 RXD/PCK_PA TXD/NCPS_PA SCK/PWM0_PA RTS/PWM_PA CTS/PWM_PA DCD/TIOA_PA6 6 DTR/TIOB_PA7 7 DSR/TCLK_PA8 8 RI/TCLK_PA9 IRQ/NPCS_PA0 NPCS/PCK_PA DDP 7 DDM 6 DDP DDM PA0 PA PA PA PA PA PA6 PA7 PA8 PA9 PA0 PA PA PA PA PA PA6 PA7 PA8 PA9 PA0 PA PA PA PA PA PA6 PA7 PA8 PA9 PA0 PA 7 R 7 R6 LED R 00K H->L (After Reset) GLED/0CHIP R 0 R 0 G S D VBUS DDP USB ID : Float : Slave USB ID : GND : Host C6 pf Q IRLML60 R.K High-Z->Pull UP (After Reset) U GND ID D+ D- VBUS USB Mini-B REC D C C7 0nF.K R7 C8 C9 pf pf LED R8 0 YLED/0CHIP B A 0uF/6.V/A +.0V + C C U LM7-./SOT VIN VOUT GND/ADJ 0uF/6.V/A + C C S PIANO SW/DIP TST ERASE TST : High - SAM-BA Mode TST : Low - Normal Mode ERASE : High - Flash All Erase ERASE : Low - Nothing PA9 PA0 C0 C6 U RO TI 9 RO 0 TI CP CN CP CN VP VN 6 JA RI TO RI 8 TO 7 VCC 6 GND A A A 68_0 RS-RX0 RS-TX0 C RS-TX0 RS-RX0 C8 C7 J AD AD AD6 AD7 PA7 PA8 PA PA9 PA PA PA0 PA6 PA PA PA PA PA PA6 PA PA nrst J DIP P DIP P. Pitch(DIP). Pitch(DIP) DDM DDP EX-.0V TCK PA TMS TDO PA0 PA PA PA PA0 PA9 PA8 PA7 PA PA PA6 TDI PA7 PA8 B A SPECY Title AT9SAM7S6~6 Size Document Number Rev A Copyright (c) 00 FirePooh. All Rights Reserved.. Date: Monday, April 7, 006 Sheet of

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW ST-STM32F 모듈매뉴얼 (Model: V01) WWW.LKEMBEDDED.CO.KR 2011 LK EMBEDDED version 1.0 페이지 1 1. 제품사진및모듈설명 그림. 모듈사진 1.1 제품소개 ST사의 32-Bit 플래시마이크로컨트롤러는임베디드어플리케이션을위해특별히디자인된최신의 ARM Cortex-M3 코어기반을하고있습니다. 32-Bit 플래시마이크로컨트롤러를이용하여학습및제품개발을핛수있는모듈입니다.

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

Microsoft Word ARM_ver2_0a.docx

Microsoft Word ARM_ver2_0a.docx [Smart]0703-ARM 프로그램설치 _ver1_0a 목차 1 윈도우기반으로리눅스컴파일하기 (Cygwin, GNU ARM 설치 )... 2 1.1 ARM datasheet 받기... 2 1.2 Cygwin GCC-4.0 4.1 4.2 toolchain 파일받기... 2 1.3 Cygwin 다운로드... 3 1.4 Cygwin Setup... 5 2 Cygwin

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

2005 2004 2003 2002 2001 2000 Security Surveillance Ubiquitous Infra Internet Infra Telematics Security Surveillance Telematics Internet Infra Solutions Camera Site (NETWORK) Monitoring & Control

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

Microsoft PowerPoint - User Manual-100 - 20150521.pptx

Microsoft PowerPoint - User Manual-100 - 20150521.pptx CIC-100 사용 설명서 (User Manual) 나의 커뮤니티, 보는 이야기 TocView [모델명 : CIC-100] 주의사항 매뉴얼의 내용은 서비스 향상을 위하여 개별 사용자의 사전 동의 또는 별도의 공지 없이 변경될 수 있습니다. 사용자의 인터넷 환경에 따라 제품 성능 및 기능의 제작 또는 사용이 불가능할 수 있습니다. 본 제품의 이용 중 장애에 의하여

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

Microsoft PowerPoint - ch07.ppt

Microsoft PowerPoint - ch07.ppt chapter 07. 시스코라우터기본동작 한빛미디어 -1- 학습목표 시스코라우터외적, 내적구성요소 시스코라우터부팅단계 시스코라우터명령어모드 한빛미디어 -2- 시스코라우터구성요소 라우터외부구성요소 (1) [ 그림 ] 2600 라우터전면도 인터페이스카드 전원부 LED 라우터조건 한빛미디어 -3- 시스코라우터구성요소 라우터외부구성요소 (2) [ 그림 ] VTY 를이용한라우터접속

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_

Microsoft Word _whitepaper_latency_throughput_v1.0.1_for_ Sena Technologies 백서 : Latency/Throughput Test September 11, 2008 Copyright Sena Technologies, Inc 2008 All rights strictly reserved. No part of this document may not be reproduced or distributed without

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

Smart Power Scope Release Informations.pages

Smart Power Scope Release Informations.pages v2.3.7 (2017.09.07) 1. Galaxy S8 2. SS100, SS200 v2.7.6 (2017.09.07) 1. SS100, SS200 v1.0.7 (2017.09.07) [SHM-SS200 Firmware] 1. UART Command v1.3.9 (2017.09.07) [SHM-SS100 Firmware] 1. UART Command SH모바일

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

<BACEBDBAC5CD20BAEAB7CEBCC52D A2DC3D6C1BE2D312D E6169>

<BACEBDBAC5CD20BAEAB7CEBCC52D A2DC3D6C1BE2D312D E6169> DOOCH PUMP Intelligent pressure boosting system 5Hz BOOSTER PUMP SYSTEM Water supply system Pressure boosting system Irrigation system Water treatment system Industrial plants 두크펌프 www.doochpump.com CONTENTS

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information

Plc\PLC-p

Plc\PLC-p GP GP-PRO/PB III for Windows Ver. 4.0] (1) [ GP-PRO/PB III for Windows Ver. 4.0] ( Digital Electronic (2) (Readme.txt files ) Copyright 2000 Digital Electronics Corporation. All rights reserved. Digital

More information

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu WISOL / SFM11R2D P/N: DATA SHEET Rev.01 WISOL 531-7, Gajang-ro,Osan-si,Gyeonggi-do Rep. of Korea http://www.wisol.co.kr CONTENTS 1. Approval Revision Record... 3 2. Scope... 4 3. Numbering of product...

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

??뀁?뀁?

??뀁?뀁? ver. 2013-1 Leader of Digital PA-System C O N T E N T S DIGITAL PA 9000 SERIES 07 (ECS - EMERGENCY CALL SYSTEM) 23 29 38 43 46 51 Digital PA-SYSTEM 1984. 03 1985. 05 1988. 10 1990. 12 Historical Outline

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

슬라이드 제목 없음

슬라이드 제목 없음 < > Target cross compiler Target code Target Software Development Kit (SDK) T-Appl T-Appl T-VM Cross downloader Cross debugger Case 1) Serial line Case 2) LAN line LAN line T-OS Target debugger Host System

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB

1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x 16, VRAM DDR2 RAM 256MB Revision 1.0 Date 11th Nov. 2013 Description Established. Page Page 1 of 9 1. GigE Camera Interface를 위한 최소 PC 사양 CPU : Intel Core 2 Duo, 2.4GHz이상 RAM : 2GB 이상 LANcard : Intel PRO/1000xT 이상 VGA : PCI x

More information

1217 WebTrafMon II

1217 WebTrafMon II (1/28) (2/28) (10 Mbps ) Video, Audio. (3/28) 10 ~ 15 ( : telnet, ftp ),, (4/28) UDP/TCP (5/28) centralized environment packet header information analysis network traffic data, capture presentation network

More information

FTTH 기술발표

FTTH 기술발표 2 3 xdsl /UTP FTTH / 2002 2005 2010 2 Mbps 6 Mbps 100Mbps * 10 Mbps 45Mbps 155Mbps FTTO / FTTD / Digital (DBS) 53Mbps/4km LMDS ADSL : Asymmetric Digital Subscriber Line HDSL : High speed Digital Subscriber

More information

USBISPV3.0(071112).hwp

USBISPV3.0(071112).hwp USBISP V3.0 User Manual AVRMALL http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 AVRMALL All Rights Reserved. USBISP V3.0 User Manual Page 2/14 Contents 1. Introduction 3 2. USB DRIVER

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt) 마이크로칩 USB Host & Device 부트로더기능실습 한국마이크로칩서한석부장 (CAE) 2009-09-07 마이크로칩 16 비트 USB 데모보드세팅 Explorer 16 + USB PICtail Plus Daughter Board + USB PIMs Part #: DM240001 Part #: AC164131 Part #: MA240014(PIC24FJ256GB11)

More information

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770>

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770> i ii iii iv v vi 1 2 3 4 가상대학 시스템의 국내외 현황 조사 가상대학 플랫폼 개발 이상적인 가상대학시스템의 미래상 제안 5 웹-기반 가상대학 시스템 전통적인 교수 방법 시간/공간 제약을 극복한 학습동기 부여 교수의 일방적인 내용전달 교수와 학생간의 상호작용 동료 학생들 간의 상호작용 가상대학 운영 공지사항,강의록 자료실, 메모 질의응답,

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A636C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 6 th Lecture 유명환 ( yoo@netplug.co.kr) 1 2 통신 관련이야기 시리얼통신 관련이야기 INDEX 3 ATmega128 시리얼통신회로도분석 4 ATmega128 시리얼통신컨트롤러 (USART) 분석 5 ATmega128 시리얼통신관련레지스터분석 6 ATmega128 시리얼통신실습 1 통신 관련이야기 동기

More information

Mango-E-Toi Board Developer Manual

Mango-E-Toi Board Developer Manual Mango-E-Toi Board Developer Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

Microsoft Word - Bluetooth User Manual_V1.00_KOR.doc

Microsoft Word - Bluetooth User Manual_V1.00_KOR.doc Bluetooth User Manual (RIF-BT10) Contents 1. 제품구성 -------------------------------------------------------- 2 2. 제품 Spec -------------------------------------------------------- 3 3. System 구성 ------------------------------------------------------

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-ROM Emulator User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned in this

More information

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지

2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지 PX-8000 SYSTEM 8 x 8 Audio Matrix with Local Control 2 PX-8000과 RM-8000/LM-8000등의 관련 제품은 시스템의 간편한 설치와 쉬운 운영에 대한 고급 기술을 제공합니다. 또한 뛰어난 확장성으로 사용자가 요구하는 시스템을 손쉽게 구현할 수 있습니다. 메인컨트롤러인 PX-8000의 BGM입력소스를 8개의 로컬지역에

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information