Microsoft PowerPoint - clcd_128_64

Size: px
Start display at page:

Download "Microsoft PowerPoint - clcd_128_64"

Transcription

1 MEGA128_ CLCD (Graphic-LCD 메뉴얼 ) CPUPLAZA wwwcpuplazacom

2 제품소개 MEGA128_CLCD 는 TEXT-LCD, Graphic-LCD( 흑백 ), Color TFT-LCD 를연결하여테스트할수있으며, 압전식터치컨틀롤러 (TSC2003) 가내장되어있어 LCD 모델에상관없이터치를별도헤더핀으로지원합니다 제품특징 - 전원 : 외부 DC 50V - TEXT LCD : 16*2 / 16*4 / 20*4 지원 -I/O 방식제어 - Graphic-LCD( 흑백 ) : 128*64 지원 -I/O 방식제어 - Color(64K) TFT-LCD 지원 : 320*240 지원 - 80-System 16Bit I/O 방식제어 - 압전식터치지원 TSC2003 Controller - Atmega128 CPU 모든 I/O 1열헤더지원 - RS232 2PORT 포트별도지원 - 완성형한글지원 ( 완성형 -> 조합형코드변환사용 ) - TEXT-LCD/Graphic-LCD/ Color TFT-LCD 라이브러리 ( 소스제공 ) * Graphic-LCD 라이브러리함수 Clrscr( ) / Cleardevice( ) // 화면소거 Gotoxy( ) / Gotoxyt( ) // 커저좌표지정 Setcolor( ) / Setbkcolor( ) // 컬러지정 Putch( ) / Putchxy( ) / Putchxyt( ) // 한문자표시 Puts( ) / Putsxy( ) / Putsxyt( ) // 문자열표시 Line( ) / Rectangle( ) / Rectanglefill( ) // 라인및박스그림 Circle( ) / Ellipse( ) // 원, 타원지원 Putpixelb( ) / PutImage( ) / Putpixel( ) // 픽셀및이미지지원 GLCD_Dread( ) // 바이트단위정보취득

3 제품사양 1 제품구성및명칭 MEGA128_CLCD CPU I/O PORT(1*32 254mm) CN1/CN2 LCD 모듈 하이퍼터미널 (19200,N,8,1) RS-232 COM1 RS-232 CN5 COM2 RS-232 CN6 Atmega128 (16M/5V) I/O PORT 33V Driver I/O PORT TFT-LCD 헤더 -29P (2mm) DC33V Graphic- LCD 헤더 -20P (254mm) DC5V J3 J2 DC5V DC5V-->3 >33V3V (500mA) I2C Touch Controller TSC2003 TEXT-LCD 헤더-16P (254mm) DC5V J1 Contrast TEXT-LCD Graphic-LCD CPU PORT Reset S/W JTAG ISP DC-5V Color TFT-LCD+Touch Graphic-LCD TEXT-LCD COM2(RS232) COM1(RS232) EXT-Touch CPU PORT Conv_Touch_A Conv_Touch_B GRAP-LCD 나 TEXT-LCD 에서 Touch 사용시

4 2 커넥터기능및사양 PCN1 : DC 전원입력 CN3 : ISP CN4 : JTAG J4 : 확장 Touch NUM NAME NUM NAME NUM NAME NUM NAME 1 DC5V 1 MISO(TXD) 1 TCK 1 X+ 2 GND 2 VCC 2 GND 2 X- 3 SCK 3 TDO 3 Y+ 4 MOSI(RXD) 4 VCC 4 Y- 5 RST 5 TMS 6 GND 6 RST 7 VCC 8 NC 9 TDI 10 GND J1 : TEXT-LCD NUM NAME PORT 1 GND GND 2 VCC +5V 3 VO 가변저항 4 RS PE5 5 R/W\ PG0 6 E PE DB0- PA0-PA7 DB7 15 LEDA +43V 16 LEDK GND J2 : Graphic-LCD NUM NAME PORT 1 GND GND 2 VCC +5V 3 VO 가변저항 4 RS PE5 5 R/W\ PG0 6 E PE DB0- PA0-PA7 DB7 15 CS1 PC0 16 CS2 PC1 17 RST\ PE6 18 VEE 19 LEDA LEDK GND J3 : Color TFT-LCD(80-System) NUM NAME PORT 1 VLCD 33V 2 VLCD 33V 3 GND GND 4 GND GND 5 RST\ PE6 6 CS\ PG2 7 RS PE5 8 RD\ PG1 9 WR\ PG DB0- DB DB8- DB15 PA0-PA7 PC0-PC7 26 X+ Touch X+ 27 X- Touch X- 28 Y+ Touch Y+ 29 Y- Touch Y-

5 CN1 : CPU PORT CN2 : CPU PORT CN5 : COM1-RS232 CN6 : COM2-RS232 NUM NAME NUM NAME NUM NAME NUM NAME 1 RST\ 1 VCC(+5V) 1 GND 1 GND 2 PD0 3 PD1 2 VCC(+5V) 3 33V 2 ERXD0 3 ETXD0 2 ERXD1 3 ETXD1 4 PD2 4 33V 5 PD3 5 GND 6 PD4 6 GND 7 PD5 7 GND 8 PD6 8 GND 9 PD7 9 PF0 10 PB0 10 PF1 11 PB1 11 PF2 12 PB2 12 PF3 13 PB3 13 PF4 14 PB4 14 PF5 15 PB5 15 PF6 16 PB6 16 PF7 17 PB7 17 PA0 18 PE0 18 PA1 19 PE1 19 PA2 20 PE2 20 PA3 21 PE3 21 PA4 22 PE4 22 PA5 23 PE5 23 PA6 24 PE6 24 PA7 25 PE7 25 PC0 26 PG0 26 PC1 27 PG1 27 PC2 28 PG2 28 PC3 29 PG3 29 PC4 30 PG4 30 PC5 31 PEN\ 31 PC6 32 GND 32 PC7

6 3 LCD 설명 1 Graphic-LCD 는가로 (128) 세로 (64) 의영역에한글및여러가지그래픽함수를지원합니다 -Graphic-LCD 0,0 x(128) 데이터표시방향 Graphic-LCD y(64) 0,0 x(128) LCD_CS1 LCD_CS2 y(64) 128,64 Graphic-LCD H/W D0 바이트단위데이터세로방향표시 D7 4 LCD 문자표시 1 Graphic-LCD 화면에영문자 A 를표현할경우예제입니다 영문 영문폰트테이블폰트이미지로드 (8*16 bit ) 화면에폰트이미지에색상을추가하여표시 문자색 / 바탕색 A 41H NUM DATA 0 c0h 1 20H 2 10H 3 08H 4 10H 5 20H 6 c0h 7 00H 8 0fH 9 01H D0 D7 D0 D7 c0h 20H 10H 08H 10H 20H c0h 00H 0fH 01H 01H 01H 01H 01H 0fh 00h 10 01H 폰트이미지 16Byte 11 01H 상위 8Byte, 하위 8Byte 이미지표시 12 01H 13 01H 14 0fH 15 00H

7 2 Graphic-LCD 화면에한글 나 를문자색적색바탕색흰색으로표현할경우예제입니다 완성형한글 완성형-> 조합형컨버전후폰트화면에폰트이미지에테이블에서이미지색상을추가하여표시로드 (16*16 bit) 문자색 완성형 나 B3AAH 조합형 나 9061H NUM DATA NUM DATA 0 00H 16 00H 1 fch 17 0fH 2 00H 18 08H 3 00H 19 08H 4 00H 20 08H 5 00H 21 08H 6 00H 22 08H 7 00H 23 08H 8 00H 24 00H 9 00H 25 00H 10 00H 26 00H 11 00H 27 00H D0 D7 D0 D7 폰트이미지 32Byte 상위 16Byte, 하위 16Byte 이미지표시 00H fch 00H 00H 00H 00H 00H 00H 00H 00H 00H 00H feh 40H 40H 00H 00H 0fH 08H 08H 08H 08H 08H 08H 00H 00H 00H 00H 3fH 00H 00H 00H 12 feh 28 3fH 13 40H 29 00H 14 40H 30 00H 15 00H 31 00H

8 S/W 사양 1 프로그램구성 \lcd_128_64 TESTC CPUSETUPINC GLCDINC 1 TESTC : 메인프로그램통신 (19200,N8,1) 으로 LCD 테스트프로그램관리 2 CPUSETUPINC : 인터럽트관리및 CPU 초기화루틴 3 GLCDINC : Graphic-LCD 관리및그래픽라이브러리소스 * 완성형코드지원시 * 조합형코드지원시 완성형한글코드작성 완성형한글작성 문자표시라이브러리완성형 -> 조합형컨버전화면표시 PC 의윈도우에서해당소스를조합형으로변환 문자표시라이브러리조합형한글표시 ** Windows Program ** HanConvexe 산 (DOS 용 ) * 소스코드명이달라야함 ( 당사제품 ) 화면표시

9 2 Graphic-LCD 라이브러리구성 Clrscr( )/Cleardevice( ) Gotosy( osy( )/Gotoxyt( o ) Setcolor( o ) 화면소거 커저위치관리 Putchxy( ) 그래픽좌표에한문자출력 Putchxyt( ) 텍스트좌표에한문자출력 Putsxy( )/Putsxy_f( ) 그래픽좌표에한문자출력 Putsyt( )/Putsxyt_f( ) 텍스트좌표에한문자출력 Retangle( ) 박스를그림 Retanglefill( ) 채워진박스를그림 Linel( ) 선을그림 Circlel( ) 원을그림 Ellipse( ) 타원을그림 Putch( )/Putch_f 한문자출력 ( 영문 ) Puts( )/Puts_f 문자열 ( 한 / 영 ) 출력 Putpixel( ) Putpixelb( ) 점을찍음 바이트단위표시 GLCD_Command( ) GLCD_Dwrite( ) GLCD_Dread( ) GLCD_Busy( ) I/O PORT Graphic LCD Driver Touch Signal TSC2003(I2C) (Touch-Controller) I2C TSC2003_Write( ) I2C TSC2003_Word_Read( ) PENIRQ Touch_Data( )

10 3 PC 통신프로그램 1 하이퍼터미널을시작함니다 2 하이퍼터미널에서통신포트를설정함니다 3 하이터미널이실행되면보드를 Reset 후메뉴에따라키를눌러테스트합니다

11 LCD 라이브러리 (glcdinc) Clrscr LCD 화면을모두지움 함수 : void Clrscr(void) 인수 : 없음 반환 : 없음 설명 : 현재화면을모두지운다 #include cpusetupinc #include glcdinc 및인터럽트관리프로그램 // LCD TEST Code Clrscr(); // LCD 화면소거

12 Cleardevice LCD 화면을모두지움 함수 : void Cleardevice(void) 인수 : 없음 반환 : 없음 설명 : 현재화면을모두지운다 #include cpusetupinc #include glcdinc 및인터럽트관리프로그램 // LCD TEST Code Cleardevice(); // LCD 화면소거

13 Gotoxy 그래픽모드형태로커저좌표를지정 함수 : void Gotoxy(int x,int y) 인수 : int x // 커저의 x( 가로 ) 좌표 (0 127) int y // 커저의 y( 세로 ) 좌표 (0 63) 반환 : 없음 변수 : int _screen_curx // X좌표저장 int _screen_cury // y좌표저장 설명 : 그래픽모드형태로커저위치지정 문자를표현하는함수에서사용 * 128 * 64 그래픽 LCD 일때 x = y = 64 1 관련함수 : Putch,Puts,Puts_f,Setcolor #include cpusetupinc #include glcdinc 및인터럽트관리프로그램 // LCD TEST Code Gotoxy(1,2); // LCD 커저위치지정

14 Gotoxyt 텍스트모드형태로커저좌표를지정 함수 : void Gotoxyt(int x,int y) 인수 : int x // 커저의 x( 가로 ) 좌표 (1 16) int y // 커저의 y( 세로 ) 좌표 (1 4) 반환 : 없음 변수 : int _screen_curx // X좌표저장변수 int _screen_cury // y좌표저장변수 설명 : 텍스트모드형태로커저위치지정 문자를표현하는함수에서사용 * 128 * 64 그래픽 LCD 일때 x : 128 / 8 = 16 y : 64 / 16 = 4 관련함수 : Putch,Puts,Puts_f,Setcolor #include cpusetupinc #include glcdinc 및인터럽트관리프로그램 // LCD TEST Code Gotoxyt(1,2); // LCD 커저위치지정

15 Setcolor 문자색을지정한다 함수 : void Setcolor(int color) 인수 : int color // 표시할문자색 _BLACK // 검정 _WHITE // 흰색 ( 평상시문자색 ) 반환 : 없음 설명 : LCD 에표시되는문자색을지정한다 변수 : int _screen_color // 컬러값저장변수 관련함수 : Putch,Puchxy,Puchxyt,Puts,Putsxy,Putsxyt,Puts_f,Putsxy_f,Putsxyt_f Line,Rectangle,Retanglefill,Cicle,Ellipse #include cpusetupinc #include glcdinc // LCD TEST Code Setcolor(_WHITE); Putch( 1 ); 및인터럽트관리프로그램 // 흰색지정 // 화면에 1 표시 1

16 Putch 현재커저위치에문자를표시한다 함수 : void Putch(unsigned c) 인수 : unsigned c 반환 : 없음 // 표시할문자 설명 : 현재커저위치에문자를표시한다 ( 한글코드제외 ) 관련함수 : Puchxy,Puchxyt,Setcolor,Gotoxy,Gotoxyt C기본헤더선언 #include cpusetupinc #include glcdinc // LCD TEST Code Putch( 2 ); 및인터럽트관리프로그램 // 화면에 2 표시 2

17 Putchxy 지정한그래픽좌표에문자를표시한다 함수 : void Putchxy(int x, int y,unsigned c) 인수 : int x // 커저의 x( 가로 ) 좌표 (0 127) int y // 커저의 y( 세로 ) 좌표 (0 63) unsigned c // 표시할문자반환 : 없음 설명 : 지정한그래픽좌표에문자를표시한다 ( 한글코드제외 ) * 128 * 64 그래픽 LCD 일때 x = y = 64-1 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Putchxy(1,10, 2 ); 및인터럽트관리프로그램 // 화면의 1(x),10(y) 위치에 2 표시 2

18 Putchxyt 지정한텍스트좌표에문자를표시한다 함수 : void Putchxyt(int x, int y,unsigned c) 인수 : int x // 커저의 x( 가로 ) 좌표 (1 16) int y // 커저의 y( 세로 ) 좌표 (1 4) int unsigned c // 표시할문자반환 : 없음 설명 : 지정한텍스트좌표에문자를표시한다 ( 한글코드제외 ) * 128 * 64 그래픽 LCD 일때 x : 128 / 8 = 16 y : 64 / 16 = 4 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Putchxyt(2,1, 2 ); 및인터럽트관리프로그램 // 화면의 2(x),1(y) 위치에 2 표시 2

19 Puts 현재커저위치에문자열을표시한다 함수 : void Puts(const char *str) 인수 : const char *str 반환 : 없음 // 표시할문자열 설명 : 현재커저위치에문자열을표시한다 ( 완성형한글코드포함 ) 관련함수 :,Putsxy,Putsxyt,Setcolor,Gotoxy,Gotoxyt C기본헤더선언 #include cpusetupinc #include glcdinc // LCD TEST Code Puts( 한글 TEST ); 및인터럽트관리프로그램 // 화면에한글 TEST 표시 한글 TEST

20 Putsxy 지정한그래픽좌표에문자열을표시한다 함수 : void Putsxy(int x, int y,const char *str) 인수 : int x // 커저의 x( 가로 ) 좌표 (0 127) int y // 커저의 y( 세로 ) 좌표 (0 63) const char *str // 표시할문자열반환 : 없음 설명 : 지정한그래픽좌표에문자열을표시한다 ( 완성형한글코드포함 ) * 128 * 64 그래픽 LCD 일때 x = y = 64-1 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Putsxy(1,10, 한글 TEST ); 및인터럽트관리프로그램 // 화면의 1(x),10(y) 위치에한글 TEST 표시 한글 TEST

21 Putsxyt 지정한텍스트좌표에문자열을표시한다 함수 : void Putsxyt(int x, int y,const char *str) 인수 : int x // 커저의 x( 가로 ) 좌표 (1 16) int y // 커저의 y( 세로 ) 좌표 (1 4) const char *str // 표시할문자반환 : 없음 설명 : 지정한텍스트좌표에문자열을표시한다 ( 완성형한글코드포함 ) * 128 * 64 그래픽 LCD 일때 x : 128 / 8 = 16 y : 64 / 16 = 4 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Putsxyt(2,1, 한글 TEST ); 및인터럽트관리프로그램 // 화면의 2(x),1(y) 위치에한글 TEST 표시 한글 TEST

22 Puts_f 현재커저위치에문자열 (FLASH) 을표시한다 :: CVAVR 용 함수 : void Puts_f(const char flash *str) 인수 : const char flash *str 반환 : 없음 // 표시할문자열 설명 : 현재커저위치에문자열 (FLASH MEMORY 데이터 ) 을표시한다 ( 완성형한글코드포함 ) 관련함수 : Putsxy_f,Putsxyt_f,Setcolor,Gotoxy,Gotoxyt C기본헤더선언 #include cpusetupinc #include glcdinc // LCD TEST Code Puts_f( 한글 TEST ); 및인터럽트관리프로그램 // 화면에한글 TEST 표시 한글 TEST

23 Putsxy_f 지정한그래픽좌표에문자열 (FLASH) 을표시한다 함수 : void Putsxy_f(int x, int y,const char flash *str) 인수 : int x // 커저의 x( 가로 ) 좌표 (0 127) int y // 커저의 y( 세로 ) 좌표 (0 63) const char flash *str // 표시할문자열반환 : 없음 설명 : 지정한그래픽좌표에문자열 (FLASH MEMORY 데이터 ) 을표시한다 ( 완성형한글코드포함 ) * 128 * 64 그래픽 LCD 일때 x = y = 64-1 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Putsxy_f(1,10, 한글 TEST ); 및인터럽트관리프로그램 // 화면의 1(x),10(y) 위치에한글 TEST 표시 한글 TEST

24 Putsxyt_f 지정한텍스트좌표에문자열 (FLASH) 을표시한다 함수 : void Putsxyt_f(int x, int y,const char flash *str) 인수 : int x // 커저의 x( 가로 ) 좌표 (1 16) int y // 커저의 y( 세로 ) 좌표 (1 4) const char flash *str // 표시할문자반환 : 없음 설명 : 지정한텍스트좌표에문자열 (FLASH MEMORY 데이터 ) 을표시한다 ( 완성형한글코드포함 ) * 128 * 64 그래픽 LCD 일때 x : 128 / 8 = 16 y : 64 / 16 = 4 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Putsxyt_f(2,1, 한글 TEST ); 및인터럽트관리프로그램 // 화면의 2(x),1(y) 위치에한글 TEST 표시 한글 TEST

25 Line 지정한좌표에선을그림 함수 : void Line(int x1, int y1,int x2,int y2) 인수 : int x1 // 시작점 x( 가로 ) 좌표 (0 127) int y1 // 시작점 y( 세로 ) 좌표 (0 63) int x2 // 끝점 x( 가로 ) 좌표 (0 127) int y2 // 끝점 y( 세로 ) 좌표 (0 63) 반환 : 없음 설명 : 지정한좌표에선을그림 * 128 * 64 그래픽 LCD 일때 x = y = 64-1 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Line(0,0,127,0); 및인터럽트관리프로그램 // 선을그림

26 Retangle 지정한좌표에박스를그림 함수 : void Retangle(int x1, int y1, int x2, int y2) 인수 : int x1 // 시작점 x( 가로 ) 좌표 (0 127) int y1 // 시작점 y( 세로 ) 좌표 (0 63) int x2 // 끝점 x( 가로 ) 좌표 (0 127) int y2 // 끝점 y( 세로 ) 좌표 (0 63) 반환 : 없음 설명 : 지정한좌표에박스를그림 * 128 * 64 그래픽 LCD 일때 x = y = 64-1 관련함수 : Line,Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Retangle(10,10,100,50); 및인터럽트관리프로그램 // 박스를그림

27 Retanglefill 지정한좌표에채워진박스를그림 함수 : void Retangle(int x1, int y1, int x2, int y2) 인수 : int x1 // 시작점 x( 가로 ) 좌표 (0 127) int y1 // 시작점 y( 세로 ) 좌표 (0 63) int x2 // 끝점 x( 가로 ) 좌표 (0 127) int y2 // 끝점 y( 세로 ) 좌표 (0 63) 반환 : 없음 설명 : 지정한좌표에채워진박스를그림 * 128 * 64 그래픽 LCD 일때 x = y = 64-1 관련함수 : Line,Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Retanglefill(10,10,100,50); 및인터럽트관리프로그램 // 채워진박스를그림

28 Circle 원을그림 함수 : void Circle(int xx, int yy, radius) 인수 : int xx // 중심점 x( 가로 ) 좌표 (0 127) int yy // 중심점 y( 세로 ) 좌표 (0 63) int radius // 반지름좌표 (0 127) 반환 : 없음 설명 : 지정한좌표에원을그림 * 128 * 64 그래픽 LCD 일때 x = y = 64-1 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Circle(64,32,10); 및인터럽트관리프로그램 // 원을그림

29 Ellipse 타원을그림 함수 : void Ellipse(int xx, int yy, int a0,int b0) 인수 : int xx // 중심점 x( 가로 ) 좌표 (0 127) int yy // 중심점 y( 세로 ) 좌표 (0 63) int a0 // 가로반경 (0 127) int a0 // 세로반경 (0 127) 반환 : 없음 설명 : 지정한좌표에타원을그림 * 128 * 64 그래픽 LCD 일때 x = y = 64-1 관련함수 : Setcolor #include cpusetupinc #include glcdinc // LCD TEST Code Ellipse(64,32,20,10); 및인터럽트관리프로그램 // 타원을그림

30 Putpixel 지정한색으로점을찍음 함수 : void Putpixel(int x, int y, char color) 인수 : int x // x( 가로 ) 좌표 (0 127) int y // y( 세로 ) 좌표 (0 63) char color // 표시할색상 _BLACK // 검정 _WHITE // 흰색 ( 평상시문자색 ) 반환 : 없음 설명 : 지정한좌표에점을찍음 * 128 * 64 그래픽 LCD 일때 x = y = 64-1 C기본헤더선언 #include cpusetupinc #include glcdinc 및인터럽트관리프로그램 // LCD TEST Code Putpixel(10,10,_WHITE); // 화면의 10(x),10(y) 위치에점을찍음

31 Putpixelb 지정한색으로바이트단위픽셀표시 함수 : void Putpixelb(int x, int y, unsigned char datac, char color) 인수 : int x // x( 가로 ) 좌표 (0 127) int y // y( 세로 ) 좌표 (0 63) unsigned char datac // 표시할픽셀바이트 char color // 표시할색상 _BLACK // 검정 _WHITE // 흰색 ( 평상시문자색 ) 반환 : 없음 설명 : 지정한좌표에세로방향으로바이트단위픽셀표시 세로로바이트단위표시 * 128 * 64 그래픽 LCD 일때 x = y = 64-1 #include cpusetupinc #include glcdinc 및인터럽트관리프로그램 // LCD TEST Code Putpixelb(10,10,0xff,_WHITE); // 화면의 10(x),10(y) 위치에바이트단위픽셀표시

32 Han_Conv 조합형코드를완성형코드로변환한다 함수 : void Han_Conv(char *buf) 인수 : char *buf // 변환할문자열버퍼반환 : 없음 변수 : unsigned char han_conv_buf[32] // 한글완성형코드저장버퍼 (32byte) 설명 : 조합형코드를완성형코드로변환한다

33 GLCD_Dread 현재커저위치의 1 바이트데이터를취득 함수 : unsigned char GLCD_Dread(int port) 인수 : int port 반환 : 00 - ffh // LCD_CS1/CS2 중선택 설명 : 현재커저위치의 1바이트데이터를취득 #include cpusetupinc #include glcdinc 및인터럽트관리프로그램 unsigned char uch; // LCD TEST Code uch = GLCD_Dread(_LCD_CS1); // 데이터리드 ( 왼쪽판넬 ) printf( byte read : %02x\n,uch); // 데이터표시

34 LCD_Init Graphic Driver IC 를초기화한다 함수 : void Lcd_Init(void) 인수 : void 반환 : void 설명 : Graphic Driver IC 를초기화한다 #include cpusetupinc #include glcdinc 및인터럽트관리프로그램 unsigned char uch;

35 GLCD_Command Graphic Driver IC 에 command 데이터를써넣는다 함수 void GLCD_Command(int port,unsigned char uch) 인수 : int port // LCD_CS1/CS2중선택 unsigned char uch // command 데이터반환 : void 설명 Graphic Driver IC에 command 데이터를써넣는다

36 GLCD_Dwrite Graphic LCD 에데이터를써넣는다 함수 : void GLCD_Dwrite(int port,unsigned char uch) 인수 : int port // LCD_CS1/CS2중선택 unsigned char uch // command 데이터반환 : void 설명 : Graphic LCD에데이터를써넣는다

37 GLCD_Busy Graphic LCD 의 Driver IC 의 Busy 상태를읽음 함수 : void GLCD_Busy(int port) 인수 : int port 반환 : void // LCD_CS1/CS2 중선택 설명 : Graphic LCD 의 Driver IC 의 Busy 상태를읽음

Microsoft PowerPoint - clcd_tft_24

Microsoft PowerPoint - clcd_tft_24 MEGA128_ CLCD (Color TFT-LCD 24 메뉴얼 ) CPUPLAZA wwwcpuplazacom 제품소개 MEGA128_CLCD 는 TEXT-LCD, Graphic-LCD( 흑백 ), Color TFT-LCD 를연결하여테스트할수있으며, 압전식터치컨틀롤러 (TSC2003) 가내장되어있어 LCD 모델에상관없이터치를별도헤더핀으로지원합니다 제품특징 -

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

untitled

untitled EZ-TFT700(T) : EZ-TFT700(T) : Rev.000 Rev No. Page 2007/08/03 Rev.000 Rev.000. 2007/12/12 Rev.001 1.6 Allstech,,. EZ-TFT700(T). Allstech EZ-TFT700(T),,. EZ-TFT700(T) Allstech. < > EZ-TFT Information(13h)

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

歯superimp.PDF

歯superimp.PDF 1 TV TV,, TV TV? TV OSDC (On Screen Display Controller) OSDC TV TV, TV TV 6845 CRTCTI9938 VDP, CRTCVDP OSDC IC TV, OSDC OSDC TV, OSDC, 1 ,,,, ASCII / ( ) ( ) ON/OFF, PC, 2432(PC 1616) CG ROM(FONT ROM)

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

JMOD : ATmega128 기본모듈 사용자설명서 제이씨넷

JMOD : ATmega128 기본모듈 사용자설명서 제이씨넷 JMOD-128-1 : ATmega128 기본모듈 사용자설명서 제이씨넷 www.jcnet.co.kr 1. JMOD-128-1 개요 1.1 JMOD-128-1 소개 은 ATmega128 MCU를이용하여, 다양한기능을구현하거나시험해볼수있도록모듈형태로개발된마이크로콘트롤러모듈입니다. 개발자의편의를위하여소형모듈에서는국내최초로프로그램 (ISP)

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

<4D F736F F F696E74202D20B8AEB4AABDBA20BFC0B7F920C3B3B8AEC7CFB1E22E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20B8AEB4AABDBA20BFC0B7F920C3B3B8AEC7CFB1E22E BC8A3C8AF20B8F0B5E55D> 리눅스 오류처리하기 2007. 11. 28 안효창 라이브러리함수의오류번호얻기 errno 변수기능오류번호를저장한다. 기본형 extern int errno; 헤더파일 라이브러리함수호출에실패했을때함수예 정수값을반환하는함수 -1 반환 open 함수 포인터를반환하는함수 NULL 반환 fopen 함수 2 유닉스 / 리눅스 라이브러리함수의오류번호얻기 19-1

More information

쉽게 풀어쓴 C 프로그래밍

쉽게 풀어쓴 C 프로그래밍 제 5 장생성자와접근제어 1. 객체지향기법을이해한다. 2. 클래스를작성할수있다. 3. 클래스에서객체를생성할수있다. 4. 생성자를이용하여객체를초기화할수 있다. 5. 접근자와설정자를사용할수있다. 이번장에서만들어볼프로그램 생성자 생성자 (constructor) 는초기화를담당하는함수 생성자가필요한이유 #include using namespace

More information

2주차: 입출력 제어 복습

2주차: 입출력 제어 복습 마이크로프로세서 응용및실습 ` 13-14 주차 : 직렬통신 (2) 한철수 전자공학과 2/35 직렬통신과병렬통신 직렬통신 한가닥의선으로송수신할데이터를차례대로전송하는방식 장점 : 통신선로가적기때문에경제적임 단점 : 전송속도가느림. 송수신약속이복잡해짐 병렬통신 여러가닥의선으로동시에여러개의데이터를전송하는방식 장점 : 전송속도가빠름 단점 : 직렬통신보다비쌈 3/35

More information

Microsoft PowerPoint - polling.pptx

Microsoft PowerPoint - polling.pptx 지현석 (binish@home.cnu.ac.kr) http://binish.or.kr Index 이슈화된키보드해킹 최근키보드해킹이슈의배경지식 Interrupt VS polling What is polling? Polling pseudo code Polling 을이용한키로거분석 방어기법연구 이슈화된키보드해킹 키보드해킹은연일상한가! 주식, 펀드투자의시기?! 최근키보드해킹이슈의배경지식

More information

ATmega128

ATmega128 ATmega128 외부인터럽트실습 Prof. Jae Young Choi ( 최재영교수 ) (2015 Spring) Prof. Jae Young Choi 외부인터럽트실험 외부인터럽트를사용하기위해관렦레지스터를설정 일반적으로 I/O 포트에대한설정이끝난후에외부인터럽트나타이머 / 카운터설정 PE4~7 번까지 4 개의외부인터럽트 INT4~INT7 까지사용 외부인터럽트사용법요약

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

lecture4(6.범용IO).hwp

lecture4(6.범용IO).hwp 제 2 부 C-언어를 사용한 마이크로컨트롤러 활용기초 66 C-언어는 수학계산을 위해 개발된 FORTRAN 같은 고급언어들과는 달 리 Unix 운영체제를 개발하면서 같이 개발된 고급언어이다. 운영체제의 특성상 C-언어는 다른 고급언어에 비해 컴퓨터의 하드웨어를 직접 제어할 수 있는 능력이 탁월하여 마이크로프로세서의 프로그램에 있어서 어셈블 리와 더불어 가장

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

13 주차문자열의표현과입출력

13 주차문자열의표현과입출력 13 주차문자열의표현과입출력 문자표현방법 문자열표현방법 문자열이란무엇인가? 문자열의입출력 문자처리라이브러리함수 표준입출력라이브러리함수 C 언어를이용하여문자열을처리하기위해서는문자형의배열이나포인터를사용하게된다. 문자열을처리하는동작으로는단순하게문자열의입력이나출력기능이외에도문자열의복사나치환, 문자열의길이를구하거나문자열을비교하는기능등많은기능을필요로한다. 그러나이러한기능들을모두구현하기란매우까다로우며,

More information

(MHT-SB112\273\347\276\347\274\255.hwp)

(MHT-SB112\273\347\276\347\274\255.hwp) 무한테크 Digital I/O Board MHT-SB112 경기도의왕시고천동 290-2 대영골든밸리 902 호 http:// Tel : 031-450 - 6737 Fax : 031-450 - 6738 Email : info@moohantechbiz 차례 1 사용되는용도및특징 2 구성요소 3 인터페이스구성 4 아날로그입력 5 통신프로토콜 6 딥스위치설정 7 PCB

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Text-LCD Device Control - Device driver Jo, Heeseung M3 모듈에장착되어있는 Tedxt LCD 장치를제어하는 App 을개발 TextLCD 는영문자와숫자일본어, 특수문자를표현하는데사용되는디바이스 HBE-SM5-S4210 의 TextLCD 는 16 문자 *2 라인을 Display 할수있으며, 이 TextLCD 를제어하기위하여

More information

61 62 63 64 234 235 p r i n t f ( % 5 d :, i+1); g e t s ( s t u d e n t _ n a m e [ i ] ) ; if (student_name[i][0] == \ 0 ) i = MAX; p r i n t f (\ n :\ n ); 6 1 for (i = 0; student_name[i][0]!= \ 0&&

More information

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER

목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER < Tool s Guide > 목차 1. 개요... 3 2. USB 드라이버 설치 (FTDI DRIVER)... 4 2-1. FTDI DRIVER 실행파일... 4 2-2. USB 드라이버 확인방법... 5 3. DEVICE-PROGRAMMER 설치... 7 3-1. DEVICE-PROGRAMMER 실행파일... 7 4. DEVICE-PROGRAMMER 사용하기...

More information

정보보안 개론과 실습:네트워크

정보보안 개론과 실습:네트워크 ` 마이크로프로세서설계및실습 12-13 주차강의자료 학습목표 A/D 변환기의제어방법을이해한다 능숙하게 A/D 변환기를제어할수있도록반복실습한다 2/28 아날로그 - 디지털변환회로 아날로그 - 디지털변환회로 (A/D 변환회로 ) 는, 아날로그전기신호를디지털전기신호로변환하는전자회로이다 A/D 컨버터 (ADC: Analog-to-digital converter) 라고도불린다

More information

01-OOPConcepts(2).PDF

01-OOPConcepts(2).PDF Object-Oriented Programming Concepts Tel: 02-824-5768 E-mail: hhcho@selabsoongsilackr? OOP (Object) (Encapsulation) (Message) (Class) (Inheritance) (Polymorphism) (Abstract Class) (Interface) 2 1 + = (Dependency)

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

0. 표지에이름과학번을적으시오. (6) 1. 변수 x, y 가 integer type 이라가정하고다음빈칸에 x 와 y 의계산결과값을적으시오. (5) x = (3 + 7) * 6; x = 60 x = (12 + 6) / 2 * 3; x = 27 x = 3 * (8 / 4

0. 표지에이름과학번을적으시오. (6) 1. 변수 x, y 가 integer type 이라가정하고다음빈칸에 x 와 y 의계산결과값을적으시오. (5) x = (3 + 7) * 6; x = 60 x = (12 + 6) / 2 * 3; x = 27 x = 3 * (8 / 4 Introduction to software design 2012-1 Final 2012.06.13 16:00-18:00 Student ID: Name: - 1 - 0. 표지에이름과학번을적으시오. (6) 1. 변수 x, y 가 integer type 이라가정하고다음빈칸에 x 와 y 의계산결과값을적으시오. (5) x = (3 + 7) * 6; x = 60 x

More information

Chapter #01 Subject

Chapter #01  Subject Device Driver March 24, 2004 Kim, ki-hyeon 목차 1. 인터럽트처리복습 1. 인터럽트복습 입력검출방법 인터럽트방식, 폴링 (polling) 방식 인터럽트서비스등록함수 ( 커널에등록 ) int request_irq(unsigned int irq, void(*handler)(int,void*,struct pt_regs*), unsigned

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

歯9장.PDF

歯9장.PDF 9 Hello!! C printf() scanf() getchar() putchar() gets() puts() fopen() fclose() fprintf() fscant() fgetc() fputs() fgets() gputs() fread() fwrite() fseek() ftell() I/O 2 (stream) C (text stream) : `/n'

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 임베디드시스템기초 (#514115 ) #2. GPIO & Matrix Keypad 한림대학교전자공학과이선우 Short Review #1 General Purpose Input Output (GPIO) Output port Input port Switch 사용방법 2 General Purpose Input Output(GPIO) port 모든 MCU의가장기본적이고중요한주변장치

More information

USB Manager 1

USB Manager 1 V-USB 1.0 Firmware only USB Driver for AVR MicroController 사 용 설명서 (Rev 1.0) 2011.3 OrientNDT - 1 - 목차 Page 1.V-USB 란?... 3 2.Board 부품구성... 4 3.부품별 기능 요약 3.1 기능 요약... 5 3.2 Size 및 BOM... 6 3.3 Schematic...

More information

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770>

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770> Black Key Region Cr R Linear Key Region θ White Key Region Cb θ Table θ Table for Chroma Suppress 1 255 0 θc θ Table for Linear Key θs θw1 θs θw2 Radius Table R Table for Chroma Suppress 1 255 0 Rc R Table

More information

1. 제품사진및보드설명 그림. LK ATmega128 A2 트레이닝보드사진 제품소개 ATMEL사의 8비트프로세서인 AVR-ATmega128 MCU를이용하여학습및제품개발을핛수있는 AVR 트레이닝보드입니다. 초보자를세심하게배려하기위하여모든부품의부품이름및부품정보가트레이닝보드

1. 제품사진및보드설명 그림. LK ATmega128 A2 트레이닝보드사진 제품소개 ATMEL사의 8비트프로세서인 AVR-ATmega128 MCU를이용하여학습및제품개발을핛수있는 AVR 트레이닝보드입니다. 초보자를세심하게배려하기위하여모든부품의부품이름및부품정보가트레이닝보드 AVR ATmega128 트레이닝보드매뉴얼 (Model: LK-ATMEGA128 A2 V02) WWW.LKEMBEDDED.CO.KR 2011 LK EMBEDDED version 1.0 페이지 1 1. 제품사진및보드설명 그림. LK ATmega128 A2 트레이닝보드사진 제품소개 ATMEL사의 8비트프로세서인 AVR-ATmega128 MCU를이용하여학습및제품개발을핛수있는

More information

Microsoft Word - HLCD통합매뉴열.DOC

Microsoft Word - HLCD통합매뉴열.DOC Series 3 제품의종류 모델명 한글표시영문표시그래픽가능수가능수도트수 112 11 BY 2 20 BY 2 112 by 32 114 11 BY 4 20 BY 4 128 by 64 114A 11 BY 4 20 BY 4 128 by 64 154 15 BY 4 30 BY 4 240 by 64 154A 15 BY 4 30 BY 4 240 by 64 158 15 BY

More information

임베디드시스템설계강의자료 6 system call 1/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 1/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 1/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 시스템호출개요 리눅스에서는사용자공간과커널공간을구분 사용자프로그램은사용자모드, 운영체제는커널모드에서수행 커널공간에대한접근은커널 ( 특권, priviledged) 모드에서가능 컴퓨팅자원 (CPU, memory, I/O 등 ) 을안전하게보호 커널수행을안전하게유지

More information

Microsoft PowerPoint - Chapter_04.pptx

Microsoft PowerPoint - Chapter_04.pptx 프로그래밍 1 1 Chapter 4. Constant and Basic Data Types April, 2016 Dept. of software Dankook University http://embedded.dankook.ac.kr/~baeksj 이장의강의목표 2 기본자료형문자표현방식과문자자료형상수자료형변환 기본자료형 (1/8) 3 변수 (Variables)

More information

PRO1_01E [읽기 전용]

PRO1_01E [읽기 전용] PCS 7 Software NET PC WinCC HMI DP Controller Siemens AG 1999 All rights reserved File: PRO1_01E1 2 S7-200 3 S7-200: 4 S7-200: CPU 5 S7-300 6 S7-300: 7 S7-300: CPU 8 S7-400 9 S7-400: 10 S7-400: CPU (1)

More information

11장 포인터

11장 포인터 쉽게풀어쓴 C 언어 Express 제 12 장문자와문자열 이번장에서학습할내용 문자표현방법 문자열표현방법 문자열이란무엇인가? 문자열의입출력 문자처리라이브러리함수 표준입출력라이브러리함수 인간은문자를사용하여정보를표현하므로문자열은프로그램에서중요한위치를차지하고있다. 이번장에서는 C 에서의문자열처리방법에대하여자세히살펴볼것이다. 문자의중요성 인간한테텍스트는대단히중요하다.

More information

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 제 8 장. 포인터 목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 포인터의개요 포인터란? 주소를변수로다루기위한주소변수 메모리의기억공간을변수로써사용하는것 포인터변수란데이터변수가저장되는주소의값을 변수로취급하기위한변수 C 3 포인터의개요 포인터변수및초기화 * 변수데이터의데이터형과같은데이터형을포인터 변수의데이터형으로선언 일반변수와포인터변수를구별하기위해

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver 1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-MOS100은 UART 인터페이스를통하여토양수분데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. PC에서는 OSTSen-MOS100에서제공하는토양수분데이터를

More information

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0 TMS0F85 초소형메모리모듈매뉴얼 TMS0F85 초소형메모리모듈제품매뉴얼 V.5. Tel. 0-78-8 Fax. 0-706-8 E-mail. dsptools@syncworks.co.kr [] page TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 09. 0. 9. - Rev..5 09. 0. 09. - Rev..5., 부트모드선택회로설명추가,

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

설계란 무엇인가?

설계란 무엇인가? 금오공과대학교 C++ 프로그래밍 jhhwang@kumoh.ac.kr 컴퓨터공학과 황준하 4 강. 함수와라이브러리함수목차 함수오버로딩 디폴트매개변수 라이브러리함수 clock 함수 난수발생 비버퍼형문자입력 커서이동 프로그래밍문제 1 /21 4 강. 함수와라이브러리함수함수오버로딩 2 /21 함수오버로딩 동일한이름의함수를여러개만들수있음 함수프로파일이달라야함 함수프로파일

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

Microsoft PowerPoint - chap13-입출력라이브러리.pptx

Microsoft PowerPoint - chap13-입출력라이브러리.pptx #include int main(void) int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; 1 학습목표 스트림의 기본 개념을 알아보고,

More information

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-PIR100은 UART 인터페이스를통하여인체모션감지 (PIR) 데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. ( PIR: Pyroelectric

More information

untitled

untitled if( ) ; if( sales > 2000 ) bonus = 200; if( score >= 60 ) printf(".\n"); if( height >= 130 && age >= 10 ) printf(".\n"); if ( temperature < 0 ) printf(".\n"); // printf(" %.\n \n", temperature); // if(

More information

목차 1. 키패드 (KeyPAD) 2. KeyPAD 를이용한비밀번호입력기

목차 1. 키패드 (KeyPAD) 2. KeyPAD 를이용한비밀번호입력기 Chapter. 13 KeyPAD 를이용한비밀번호입력기 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. 키패드 (KeyPAD) 2. KeyPAD 를이용한비밀번호입력기 키패드 (KeyPAD) 키패드 (KeyPAD) 마이크로컨트롤러활용에서사용자의입력을받아들이기위한장치 전화기, 컴퓨터, 핸드폰, 냉장고등거의모든가전제품에서사용 키패드인터페이스방식

More information

<443A5C4C C4B48555C B3E25C32C7D0B1E25CBCB3B0E8C7C1B7CEC1A7C6AE425CBED0C3E0C7C1B7CEB1D7B7A55C D616E2E637070>

<443A5C4C C4B48555C B3E25C32C7D0B1E25CBCB3B0E8C7C1B7CEC1A7C6AE425CBED0C3E0C7C1B7CEB1D7B7A55C D616E2E637070> #include "stdafx.h" #include "Huffman.h" 1 /* 비트의부분을뽑아내는함수 */ unsigned HF::bits(unsigned x, int k, int j) return (x >> k) & ~(~0

More information

슬라이드 1

슬라이드 1 / 임베디드시스템개요 / 임베디드운영체제 / 디바이스드라이버 01 Linux System Architecture Application Area Application System Call Interface BSD Socket Virtual File System INET(AF_INET) Kernel Area Buffer Cache Network Subsystem

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Chapter 10 포인터 01 포인터의기본 02 인자전달방법 03 포인터와배열 04 포인터와문자열 변수의주소를저장하는포인터에대해알아본다. 함수의인자를값과주소로전달하는방법을알아본다. 포인터와배열의관계를알아본다. 포인터와문자열의관계를알아본다. 1.1 포인터선언 포인터선언방법 자료형 * 변수명 ; int * ptr; * 연산자가하나이면 1 차원포인터 1 차원포인터는일반변수의주소를값으로가짐

More information

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech

OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech OSTSen-THL100 사용자설명서 Ver 1.1 Onsystech OSTSen-THL100 Ver1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-THL100은 UART 인터페이스를통하여온도, 습도, 조도데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에쉽게적용할수있도록소형으로제작되었습니다. PC에서 OSTSen-THL100의온도,

More information

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론 강 AVR bard & dwlad cable 2009 년도 학기 A V R 을시작하며 AVR bard Pi cfigurati 64 개의핀으로구성 Vcc(2) Avcc() GND(3) 입출력핀 (8*6+5) Clck, reset, Aref, PEN 한개의핀이두개이상의기능을수행하기도한다. Pi cfigurati I/O PORT A~G 의 7 개의 prt 중 A~E

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

Microsoft PowerPoint - 08-C-App-19-Quick-Preprocessor

Microsoft PowerPoint - 08-C-App-19-Quick-Preprocessor 19. 전처리와분할컴파일 순천향대학교컴퓨터학부이상정 1 학습내용 전처리명령어 #include #define 기호상수 const 분할컴파일 순천향대학교컴퓨터학부이상정 2 전처리과정 전처리 (preprocessor) 전처리명령어는 # 기호로시작 #incldue #define 순천향대학교컴퓨터학부이상정 3 #include (1) 지정된파일을프로그램에삽입 꺽쇠괄호는포함할파일을컴파일러에설정되어있는특정디렉토리에서검색

More information

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드]

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드] 제품명 : STM_LCD 보드 STM_LCD 보드는 STMF0 Cortex-M 를처음사용하시는분들께편리한개발환경을제공합니다. 다양한통신커넥터를구비하고있어서특히산업용제품을개발하시고자하는분께좋은 Prototype을제공합니다. 부트보드특징 : 선택 STMF0RBT 스위치 SPI 통신 개 RS 통신 개 RS 통신 IC 통신 C 확장 Data Flash: DB 입출력

More information

슬라이드 1

슬라이드 1 -Part3- 제 4 장동적메모리할당과가변인 자 학습목차 4.1 동적메모리할당 4.1 동적메모리할당 4.1 동적메모리할당 배울내용 1 프로세스의메모리공간 2 동적메모리할당의필요성 4.1 동적메모리할당 (1/6) 프로세스의메모리구조 코드영역 : 프로그램실행코드, 함수들이저장되는영역 스택영역 : 매개변수, 지역변수, 중괄호 ( 블록 ) 내부에정의된변수들이저장되는영역

More information

OPCTalk for Hitachi Ethernet 1 2. Path. DCOMwindow NT/2000 network server. Winsock update win95. . . 3 Excel CSV. Update Background Thread Client Command Queue Size Client Dynamic Scan Block Block

More information

untitled

untitled CAN BUS RS232 Line CAN H/W FIFO RS232 FIFO CAN S/W FIFO TERMINAL Emulator COMMAND Interpreter PROTOCOL Converter CAN2RS232 Converter Block Diagram > +- syntax

More information

PA for SWE2007

PA for SWE2007 Programming Assignment #0: Making own "my_string.h" SWE2007: Software Experiment II (Fall 2016) Due: 21st Sep. (Wed), 11:59 PM 1. Introduction 이번과제에선, 앞으로있을다른과제들을수행하기위한필요할함수들을구현한다. 그대상은, 문자열조작 / 검사 / 변환함수들을담은

More information

EP-B-P211.eps

EP-B-P211.eps PFA/PFW Series PFA Series PFW Series PF00 Series Alphabet Index 8 A COM B COM DCV GND F.G. R.S. HOLD COM BANK BANK COUNT PRESET FUNC. AC00~0VCOM OUTOUTOUTOUTOUTS.STOP RD SD SG RS-C PFA/PFW Series, N M/C

More information

Microsoft Word - AM-SLCD_시리얼 LCD_ 메뉴얼.doc

Microsoft Word - AM-SLCD_시리얼 LCD_ 메뉴얼.doc 영문시리얼 LCD 모듈 ( Model : AM-SLCD) 메뉴얼 뉴테크놀로지컴패니 (N.T.C) 1 AM-SLCD ( 영문시리얼 LCD 모듈 ) 소개 영문 Character LCD 를 Serial 을이용하여터미널모드와커맨드모드로제어할수있다. 터미널모드는시리얼로출력되는 ASCII Code 데이터를 LCD 화면에보여주는기능이다. 커맨드모드는통신커맨드에해당하는데이터를수신하여

More information

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과

임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 임베디드시스템설계강의자료 6 system call 2/2 (2014 년도 1 학기 ) 김영진 아주대학교전자공학과 System call table and linkage v Ref. http://www.ibm.com/developerworks/linux/library/l-system-calls/ - 2 - Young-Jin Kim SYSCALL_DEFINE 함수

More information

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25,

6 강남구 청담지구 청담동 46, 삼성동 52 일대 46,592-46,592 7 강남구 대치지구 대치동 922번지 일대 58,440-58,440 8 강남구 개포지구 개포동 157일대 20,070-20,070 9 강남구 개포지구중심 포이동 238 일대 25,070-25, 서울특별시시 제2014-77호 도시관리계획[성내지구 지구단위계획구역 등 176개 구역 (민간부문 운영시행지침)] 결정(변경) 시 서울특별시 성내지구 등 176개소 지구단위계획구역 민간부문 운영시행지침 에 대하여 국토의 계획 및 이용에 관한 법률 제30조 및 같은법 시행령 제25조 규정에 따라 도시관리 계획결정(변경) 사항을 다음과 같이 시합니다. 2014년

More information

27집최종10.22

27집최종10.22 경 축 2012년 한국문인협회 선정 우수지부상 수상 아래 글은 한국문인협회 지회, 지부 중 홍천지부가 전국 우수지부로 선정되어 지난 2012년 9월 22~23일 원주 인터블고 호텔에서 개최한 한국문인협회 제32차 문협 전국대표자 대회 에서 수상하고 석도익 회장이 발표한 홍천지부 지부운영사례에 대한 글을 옮김. 2012년 한국문인협회 선정 우수지부장

More information

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변

황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 194 197 황룡사 복원 기본계획 Ⅵ. 사역 및 주변 정비계획 가. 사역주변 정비구상 문화유적지구 조성 1. 정비방향의 설정 황룡사 복원과 함께 주변 임해전지(안압지) 海殿址(雁鴨池)와 분황사 등의 문화유적과 네트워크로 연계되는 종합적 정비계획안을 수립한다. 주차장과 광장 등 주변 편의시설에 대한 계획을 고려하여 하나의 유적지구로 조성한다. 각 유적을 하나의

More information

Microsoft PowerPoint - chap10-함수의활용.pptx

Microsoft PowerPoint - chap10-함수의활용.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 중 값에 의한 전달 방법과

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어

프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어 개나리 연구소 C 언어 노트 (tyback.egloos.com) 프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어먹고 하더라구요. 그래서,

More information

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx MAI-ISP-STK500 사용자매뉴얼 Manual Ver. 2.5 엠에이아이 (M.A.I) www.maicom.co.kr http://cafe.naver.com/at128mai [ 모델이름 ]: MAI-ISP-STK5000 [ 용도 ]: AVR MCU의내부 Flash Memory 및 EEPROM 에 HEX 파일을 Write W / Readd 할수있는기능을하는프로그래머로써,

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-Segment Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 6-Digit 7-Segment LED Controller 16비트로구성된 2개의레지스터에의해제어 SEG_Sel_Reg(Segment

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Web server porting 2 Jo, Heeseung Web 을이용한 LED 제어 Web 을이용한 LED 제어프로그램 web 에서데이터를전송받아타겟보드의 LED 를조작하는프로그램을작성하기위해다음과같은소스파일을생성 2 Web 을이용한 LED 제어 LED 제어프로그램작성 8bitled.html 파일을작성 root@ubuntu:/working/web# vi

More information

Microsoft Word - AM-2560PRO_V01 메뉴얼.doc

Microsoft Word - AM-2560PRO_V01 메뉴얼.doc ATMEGA 2560 모듈 ( Model : AM-2560PRO V01) 메뉴얼 ( 주 ) 뉴티씨 (NEWTC) 1 AM-2560Pro 소개 ATMega2560 16AU AVR 마이크로컨트롤러사용 256Kbit (32Kbyte) SRAM 내장 (ISSI IS62C256AL) 2줄짜리 2mm Header Pin이양쪽으로 50 핀씩배치되어있음. MAX3232 내장으로

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 7-SEGMENT DEVICE CONTROL - DEVICE DRIVER Jo, Heeseung 디바이스드라이버구현 : 7-SEGMENT HBE-SM5-S4210 의 M3 Module 에는 6 자리를가지는 7-Segment 모듈이아래그림처럼실장 6 Digit 7-Segment 2 디바이스드라이버구현 : 7-SEGMENT 6-Digit 7-Segment LED

More information

BMP 파일 처리

BMP 파일 처리 BMP 파일처리 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 영상반전프로그램제작 2 Inverting images out = 255 - in 3 /* 이프로그램은 8bit gray-scale 영상을입력으로사용하여반전한후동일포맷의영상으로저장한다. */ #include #include #define WIDTHBYTES(bytes)

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 C 프로그래밍프로젝트 Chap 14. 포인터와함수에대한이해 2013.10.09. 오병우 컴퓨터공학과 14-1 함수의인자로배열전달 기본적인인자의전달방식 값의복사에의한전달 val 10 a 10 11 Department of Computer Engineering 2 14-1 함수의인자로배열전달 배열의함수인자전달방식 배열이름 ( 배열주소, 포인터 ) 에의한전달 #include

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770>

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770> 연습문제해답 5 4 3 2 1 0 함수의반환값 =15 5 4 3 2 1 0 함수의반환값 =95 10 7 4 1-2 함수의반환값 =3 1 2 3 4 5 연습문제해답 1. C 언어에서의배열에대하여다음중맞는것은? (1) 3차원이상의배열은불가능하다. (2) 배열의이름은포인터와같은역할을한다. (3) 배열의인덱스는 1에서부터시작한다. (4) 선언한다음, 실행도중에배열의크기를변경하는것이가능하다.

More information

Microsoft PowerPoint - chap03-변수와데이터형.pptx

Microsoft PowerPoint - chap03-변수와데이터형.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num %d\n", num); return 0; } 1 학습목표 의 개념에 대해 알아본다.

More information

Microsoft PowerPoint - C프로그래밍-chap15.ppt [호환 모드]

Microsoft PowerPoint - C프로그래밍-chap15.ppt [호환 모드] Chapter 15 문자열 2009 한국항공대학교항공우주기계공학부 (http://mercury.kau.ac.kr/sjkwon) 1 문자의집합체 문자열의정의 일련의문자 C 언어에서문자열앞뒤에인용부호 를이용 문자와문자열과의차이 문자열의저장 (1) 배열을이용하는방법 문자열상수 c c language 를저장하는문자열배열 항상문자열마지막에는 NULL문자를넣어야함 (2)

More information