<4D F736F F F696E74202D C31345FB0EDB1DE20BFB5BBF320C8B8B7CE20BCB3B0E82E BC8A3C8AF20B8F0B5E55D>

Size: px
Start display at page:

Download "<4D F736F F F696E74202D C31345FB0EDB1DE20BFB5BBF320C8B8B7CE20BCB3B0E82E BC8A3C8AF20B8F0B5E55D>"

Transcription

1 VHDL 프로그래밍 14. 고급영상회로설계 한동일 학습목표 영상포맷을이해한다. 파일입출력기능을이해한다. 원하는형태와포맷으로파일을입출력할수있다. 시뮬레이터의부가기능을활용할수있다. 영상회로의설계표현과논리합성결과의상관관계를이해한다. 게이트를최소화할수있는설계방법을파악한다. 다양한영상회로설계문제를 VHDL 설계문제로변환할수있다. 효과적인검증환경을이용하여완성도높은설계를할수있다. 2/55

2 영상파일의입출력 영상회로의일반적인검증환경 카메라 카메라동기신호생성부 파일입력문으로영상파일입력 디스플레이 디스플레이장치동기신호생성부 파일출력문으로영상생성 image viewer 를이용한각프레임별검증 영상처리부 일반적인고급디지털회로설계기법사용 FIFO, RAM, ROM, SDRAM 등의메모리인터페이스필요 3/55 영상파일의입출력 영상회로의일반적인검증환경 image image Sync sync Image Image Generator Read sync Processing sync Image Write Clock Reset Generator rst_n clk Input File Control Signals Output File 4/55

3 영상파일의입출력 클럭신호생성부의 VHDL 구현 library IEEE; use IEEE.STD_LOGIC_1164.all; entity clk_rst_gen is port ( reset_disp_n : out std_logic; dispclk : out std_logic); end; architecture clk_rst_gen of clk_rst_gen is constant HALF_PERIOD_25M : time := 20 ns ; reset_disp_n <= '0','1'after 60 ns ; dispclk_gen : process while (true) loop dispclk <='0' ; wait for HALF_PERIOD_25M ; dispclk <= '1' ; wait for HALF_PERIOD_25M ; end loop; end process; end; 5/55 영상파일의입출력 일반적인동기신호패턴 6/55

4 영상파일의입출력 동기신호패턴정보의 VHDL 구현 -- Galaxy S timing information constant HTOTAL_WIDTH : integer := 500 ; constant HSYNC_WIDTH : integer := 5; constant HSYNC_BACK_PORCH : integer := 14 ; constant HBP_PLUS_ACTIVE PLUS : integer := 494 ; constant VTOTAL_WIDTH : integer := 820 ; constant VSYNC_WIDTH : integer := 5; constant VSYNC_BACK_PORCH : integer := 8 ; constant VBP_PLUS_ACTIVE PLUS : integer := 808 ; 7/55 영상파일의입출력 수평동기신호생성 수평카운터신호생성 pcounter_gen: process (dispclk, reset_disp_n) if (reset_disp_n='0') then pcounter <= 0; elsif (dispclk='1' and dispclk'event) then end process; if (pcounter= HTOTAL_WIDTH-1) then pcounter <= 0; else pcounter <= pcounter + 1; 8/55

5 영상파일의입출력 수평동기신호생성 hsync_n_gen: process (dispclk, reset_disp_n) if (reset_disp_n='0') then s_hsync_n <= '0'; elsif (dispclk='1' and dispclk'event) then end process; if (pcounter < HSYNC_WIDTH) then s_hsync_n <= '0'; else s_hsync_n <= '1'; 9/55 영상파일의입출력 수평동기신호생성 수평유효구간생성 hactive_gen: process (dispclk, reset_disp_n) if (reset_disp_n='0') then s_hactive <= '0'; elsif (dispclk='1' and dispclk'event) then end process; if (pcounter=hsync_back_porch) then s_hactive <= '1'; elsif (pcounter=hbp_plus_active) then s_hactive <= '0'; 10/55

6 영상파일의입출력 수직동기신호생성 수직카운터신호생성 hcounter_gen: process (dispclk, reset_disp_n) if (reset_disp_n='0') then hcounter <= 0; elsif (dispclk='1' and dispclk'event) then if (pcounter = HTOTAL_ WIDTH-1) then if (hcounter= VTOTAL_WIDTH-1) then hcounter <= 0 ; else hcounter <= hcounter + 1; end process; 11/55 영상파일의입출력 수직동기신호생성 vsync_n_gen: process (dispclk, reset_disp_n) if (reset_disp_n='0') then s_vsync_n <= '0'; elsif (dispclk='1' and dispclk'event) then end process; if (hcounter < VSYNC_WIDTH) then s_vsync_n <= '0'; else s_vsync_n <= '1'; 12/55

7 영상파일의입출력 수직동기신호생성 수직유효구간생성 vactive_gen: process (dispclk, reset_disp_n) if (reset_disp_n='0') then s_vactive <= '0'; elsif (dispclk='1' and dispclk'event) then end process; if (hcounter = VSYNC_BACK_PORCH) then s_vactive <= '1'; elsif (hcounter= VBP_PLUS_ACTIVE) then s_vactive <= '0'; 13/55 영상파일의입출력 PNM(Portable Anymap Format) 영상포맷 무압축영상포맷 VHDL 시뮬레이션에최적 카메라입력영상모델링 디스플레이출력영상모델링 PNM 파일종류 PPM(Portable Pixmap Format) : 컬러영상표현 PGM(Portable Graymap Format) : 계조영상 (gray-level image) 표현 PBM(Portable Bitmap Format) : 이진영상 (binary image) 표현 PNM 파일내용 영상해더부 : 영상의포맷을정의 영상데이터부 : 무압축방식, 영상해더부에의해영상데이터구조결정 14/55

8 영상파일의입출력 PNM(Portable Anymap Format) 영상포맷 PNM 파일해더부양식 해더부내용 Magic number Image width Image height max 설명 PNM 파일의종류와영상데이터저장방식설명영상의가로폭정보를정수형태로표현영상의세로높이정보를정수형태로표현각영상채널내에존재하는컬러나흑백표현값의최대치 # 주석정보추가시사용 해더부는 ASCII 코드형태로표시 해더부내각각의정보는여백문자로분리 blanks, tabs, line feeds, carriage returns 15/55 영상파일의입출력 PNM(Portable Anymap Format) 영상포맷 PNM 파일해더부의 magic number 2 바이트를이용하여 PNM 파일의종류를구분 Format ASCII Raw Data PBM P1 P4 PGM P2 P5 PPM P3 P6 Magic Number P1 ~ P3 : 영상부분이 ASCII P4 ~ P6 : 영상부분이 raw data 16/55

9 영상파일의입출력 PNM 파일의 Raw Data 영상저장포맷 PBM pixel0 pixel1 pp pixel2 pixel3 pixel4 pp pixel5 pixel6 pixel7 pp pixel8 PGM Gray 0 Gray 1 Gray 2 Gray 3 PPM Red 0 Green 0 Blue 0 Red 1 17/55 영상파일의입출력 chessboard.pbm b 파일내용및결과영상 P1 # PBM Sample Image : Chessboard /55

10 영상파일의입출력 vhdl.pgm 파일내용 P2 # PGM Sample Image : VHDL /55 영상파일의입출력 vhdl.pgm 파일결과영상 20/55

11 영상파일의입출력 color.ppm 파일내용및결과영상 P /55 영상파일의입출력 영상파일의입력 library ieee; use ieee.std_logic_1164.all i ll ; use ieee.std_logic_arith.all ; use ieee.std_logic_unsigned.all; use std.textio.all; architecture simulation of ppm_image_read is constant BLACK_INT : integer := 16 ; constant BV : std_logic_vector (7 downto 0) := " "; process (dispclk, reset_disp_n) file INFILE_IMG : text open read_mode is "buildings.txt"; variable img_val : line; variable rvalue, gvalue, bvalue : integer range 0 to 255; -- 중략 22/55

12 영상파일의입출력 영상파일의입력 - 계속 if hactive='1' and vactive='1' then if not (endfile(infile_img)) then readline(infile_img, img_val); read(img_val, rvalue); read(img_val, gvalue); read(img_val, bvalue); pir_rimg rimg <= conv_std_logic_vector(rvalue,8); vector(rvalue pir_gimg <= conv_std_logic_vector (gvalue,8); pir_bimg <= conv_std_logic_vector (bvalue,8); else pir_rimg <= BV; pir_gimg <= BV; pir_bimg <= BV; 23/55 영상파일의입출력 영상파일의출력 architecture simulation of ppm_image_write is file OUT_IMG : text open write_mode is "buildings_negative.ppm"; constant H_WIHTH : integer := 480; constant V_HEIGHT : integer := 800; procedure writergb (file F: text; R, G, B: in integer) is variable L : line; write(l, R, RIGHT, 3); write(l, string'(" ")); write(l, G, RIGHT, 3); write(l, string'(" ")); write(l, B, RIGHT, 3); writeline(f, L); end writergb; 24/55

13 영상파일의입출력 영상파일의출력 - 계속 procedure writeheader (file F : text; Width, Height : in integer) is variable L : line; write(l, string'("p3"));-- magic number writeline(f, L); write(l, string'("# Creadted through VHDL simulation")); writeline(f, L); write(l, Width); write(l, string'("")); write(l, Height); writeline(f, L); write(l, string'("255")); writeline(f, L); end writeheader; 25/55 영상파일의입출력 영상파일의출력 - 계속 end ; write_output : process (dispclk) variable tmp_r, tmp_g, tmp_b: integer; variable time_zero : std_logic := '0'; if (time_zero = '0') then writeheader(out_img, H_WIHTH, V_HEIGHT); time_zero := '1'; elsif ( dispclk'event and dispclk = '1') then if ( hactive_ip = '1' and vactive_ip = '1') then end process write_output; tmp_ r := conv_ integer(unsigned(ip g ( p_ rimg)); tmp_g := conv_integer(unsigned(ip_gimg)); tmp_b := conv_integer(unsigned(ip_bimg)); writergb(out_img, tmp_r, tmp_g, tmp_b); 26/55

14 영상파일의입출력 입력및출력영상예 입력영상 27/55 출력영상 영상파일의입출력 영상파일입출력시뮬레이션파형예 28/55

15 패턴생성기의설계 대표적인테스트패턴예 일반영상 Full White Full Black Full Red Full Green Full Blue 29/55 패턴생성기의설계 대표적인테스트패턴예 Y Bars EIA Color Bars SMPTE Bars Cross Cross Hatch White Window 30/55

16 패턴생성기의설계 YB Bar 생성데이터 Linear RGB R G B YCbCr Y Cb Cr 좌표 0~100 ~200 ~300 ~400 ~500 ~600 ~700 ~800 R G B /55 패턴생성기의설계 EIA Color Bar 생성데이터 white yellow cyan green magenta red blue black Linear RGB R G B YCbCr Y Cb Cr 좌표 0~114 ~228 ~343 ~457 ~571 ~686 ~800 R G B /55

17 패턴생성기의설계 YB Bar 생성부 -- 중략 -- image signal interface image_gen gen : process(dispclk) if (dispclk='1' and dispclk'event) then if vactive_pir='1' then if hactive_fpulse ='1' then hcounter <= (hcounter + 1) mod 1024; else hcounter <= 0; 33/55 패턴생성기의설계 YB Bar 생성부 if hactive_pir='1' and vactive_pir='1' then if hcounter < GRAY7_POS then ip_rimg <= WHITE; ip_gimg <= WHITE; ip_bimg <= WHITE; elsif lifhcounter <GRAY6_POS POSthen ip_rimg <= GRAY6; ip_gimg <= GRAY6;ip_bimg <= GRAY6; -- 중략 elsif hcounter < GRAY1_POS then ip_rimg <= GRAY1; ip_gimg <= GRAY1; ip_bimg <= GRAY1; else ip_rimg <= BLACK; ip_gimg <= BLACK; ip_bimg <= BLACK; else -- can be deleted for internal use or simulation only. ip_rimg <= BLACK; ip_gimg <= BLACK;ip_bimg <= BLACK; end process; 34/55

18 색좌표변환기의설계 색좌표 (Color Space) 색의수학적인표현방법 RGB Color Space 컴퓨터그래픽및디스플레이용도 YIQ, YUV, YCbCr Color Space 영상의압축, 복원, 처리용도 CMYK Color Space 컬러출판에사용됨 HIS(Hue, Saturation, Intensity) Color Space 인간의컬러인지특성모델링에사용됨 35/55 색좌표변환기의설계 RGB 와 YCbCr 색좌표변환관계예 Y = 0.257R G B 098B + 16 Cb = R G B Cr = 0.439R G B 071B R = 1.164(Y-16) (Cr-128) G = 1.164(Y-16) (Cr-128) (Cb-128) B = 1.164(Y-16) (Cb-128) 36/55

19 색좌표변환기의설계 색좌표변환기의전체구조도 image image image Image Read sync RGB to YCbCr Conversion sync YCbCr to RGB Conversion sync Image Write Input Image Output File Write Output File File 37/55 색좌표변환기의설계 YCbCr 색좌표변환식 Y = 0.257R G B + 16 Cb = R G B Cr = 0.439R G B YCbCr 색좌표변환식의구현예 compile error signal R : std_logic_vector (7 downto 0); signal lg : std_logic_vector (7downto 0); signal B : std_logic_vector (7 downto 0); signal Y : std_logic_vector (7 downto 0); signal Cb : std_logic_vector (7 downto 0); signal Cr : std_logic_vector (7 downto 0); -- 중략 Y <= 0.257*R *G *B + 16; Cb <= *R *G *B + 128; Cr <= 0.439*R *G *B + 128; 38/55

20 색좌표변환기의설계 YCbCr 색좌표변환식의구현예 논리합성불가 signal R : integer range 0 to 255; signal G : integer range 0 to 255; signal B : integer range 0 to 255; signal Y : integer range 0 to 255; signal Cb : integer range 0 to 255; signal Cr : integer range 0 to 255; -- 중략 Y <= 257*R/ *G/ *B/ ; Cb <= -148*R/ *G/ *B/ ; Cr <= 439*R/ *G/ *B/ ; 39/55 색좌표변환기의설계 YCbCr 색좌표변환식의구현예 논리합성가능 연산오차의누적발생 signal R : integer range 0 to 255; signal G : integer range 0 to 255; signal B : integer range 0 to 255; signal Y : integer range 0 to 255; signal Cb : integer range 0 to 255; signal Cr : integer range 0 to 255; -- 중략 Y <= 263*R/ *G/ *B/ ; Cb <= -152*R/ *G/ *B/ ; Cr <= 450*R/ *G/ *B/ ; 40/55

21 색좌표변환기의설계 YCbCr 색좌표변환식의구현예 연산오차의누적 입력영상데이터 역변환을통해얻은원영상데이터 41/55 색좌표변환기의설계 YCbCr 색좌표변환식의구현예 논리합성가능 C 와동일결과 signal R : integer range 0 to 255; signal G : integer range 0 to 255; signal B : integer range 0 to 255; signal Y : integer range 0 to 255; signal Cb : integer range 0 to 255; signal Cr : integer range 0 to 255; -- 중략 Y <= ( 1053*R/ *G/ *B/ ) / 8; Cb <= ( -606*R/ *G/ *B/ ) / 8 ; Cr <= ( 1798*R/ *G/ *B/ ) / 8; 42/55

22 색좌표변환기의설계 YCbCr 색좌표변환식의구현예 C 와동일결과 입력영상데이터 역변환을통해얻은원영상데이터 43/55 색좌표변환기의설계 색좌표변환결과예 원영상 YCbCr 영상색변환결과영상 44/55

23 색좌표변환기의설계 색변환시뮬레이션파형예 45/55 MCT 변환기의설계 MCT(Modified d Census Transform) 변환 영상내의구조적인특징을추출하는방법 밝기변화나조명에의한영향을최소화하면서영상내의정보를추출하는기법 MCT 변환의정의 46/55

24 MCT 변환기의설계 MCT 변환예 W(X) MCT (=15) Γ(X) MCT (=60) /55 MCT 변환기의설계 MCT 계산슈도코드 기본알고리즘에 /9 사용 논리합성을위해서는근사화, 혹은최적화필요 data_mean = (m1+m2+m3+m4+m5+m6+m7+m8+m9)/9; -- 논리합성불가 if (m1 > data_mean) mct1 = 1; else mct1 = 0; if (m2 > data_ mean) mct2 = 1; else mct2 = 0; //... // 중략 MCT = (mct1 << 8) + (mct2 << 7) + (mct3 << 6) + (mct4 << 5) + (mct5 << 4) + (mct6 << 3) + (mct7 << 2) + (mct8 << 1) + (mct9); 48/55

25 MCT 변환기의설계 근사화방법 1/9 = 1 * (256/256) /9 = 1 * (256/9) / / 근사화이전 data_mean = (m1+m2+m3+m4+m5+m6+m7+m8+m9)/9; -- 논리합성불가 -- 근사화이후 data_mean = 28 * (m1+m2+m3+m4+m5+m6+m7+m8+m9) / 256; 최적해법 /9 대신이항해서 *9 사용 -- 근사화이전 data_mean = (m1+m2+m3+m4+m5+m6+m7+m8+m9)/9; -- 논리합성불가 -- 근사화이후 data_sum = 9 * data_mean = (m1+m2+m3+m4+m5+m6+m7+m8+m9); 49/55 MCT 변환기의설계 VHDL 설계를위한최적 MCT 계산슈도코드 data_sum = (m1+m2+m3+m4+m5+m6+m7+m8+m9); if (9 * m1 > data_ sum) mct1 = 1; else mct1 = 0; if (9 * m2 > data_sum) mct2 = 1; else mct2 = 0; //... // 중략 MCT = (mct1 << 8) + (mct2 << 7) + (mct3 << 6) + (mct4 << 5) + (mct5 << 4) + (mct6 << 3) + (mct7 << 2) + (mct8 << 1) + (mct9); 50/55

26 MCT 변환기의설계 MCT 변환예 51/55 MCT 변환기의설계 MCT 변환기의구조도 image Y image MCT image Image Read sync RGB to YCbCr Conversion sync MCT Generator sync Image Write Input Yi image Output Output File Write File File 52/55

27 MCT 변환기의설계 3x33 윈도우의구현 Y image F/F F/F Line Memory(480x8) F/F F/F MCT Calculator Line Memory(480x8) F/F F/F MCT Image Window Generator(3x3) 53/55 MCT 변환기의설계 MCT 변환결과예 원영상 Y 영상 MCT 결과영상 54/55

28 MCT 변환기의설계 MCT 변환시뮬레이션파형예 55/55

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드] VHDL 프로그래밍 2. VHDL 언어사용해보기 한동일 학습목표 기존프로그래밍언어의간단한예를다룬다. VHDL 언어의간단한예를다룬다. 각언어의실제적인사용예를파악한다. 기존프로그래밍언어와비교되는 VHDL언어의차이점을이해한다. 엔티티선언의의미를파악한다. 아키텍처선언의의미를파악한다. VHDL 언어의문장구조를눈에익힌다. 디지털로직과이의 VHDL 표현과정을이해한다. 2/23

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

Microsoft PowerPoint - P01_chapter1.ppt [호환 모드]

Microsoft PowerPoint - P01_chapter1.ppt [호환 모드] Image Processing 1. Introduction Computer Engineering, g, Sejong University Dongil Han What is Image Processing? Science of manipulating a picture Enhance or distort t an image Create a new mage from portions

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

BMP 파일 처리

BMP 파일 처리 BMP 파일처리 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 영상반전프로그램제작 2 Inverting images out = 255 - in 3 /* 이프로그램은 8bit gray-scale 영상을입력으로사용하여반전한후동일포맷의영상으로저장한다. */ #include #include #define WIDTHBYTES(bytes)

More information

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드] VHDL 프로그래밍 1. 문법기초 - 간단한조합회로및문법 학습목표 VHDL 기술과소프트웨어와차이파악 Signal assignment 의의미파악 Architecture body 의개념파악 Entity declaration 의개념파악 Process 문의사용법 Variable 과 signal 의차이파악 Library, Use, Package 의사용법 2/53 간단한논리회로예제

More information

차례 사용하기 전에 준비 및 연결 간편 기능 채널 관련 영상 관련 음성 관련 시간 관련 화면잔상 방지를 위한 주의사항... 4 각 부분의 이름... 6 제품의 설치방법... 10 TV를 켜려면... 15 TV를 보려면... 16 외부입력에 연결된 기기명을 설정하려면..

차례 사용하기 전에 준비 및 연결 간편 기능 채널 관련 영상 관련 음성 관련 시간 관련 화면잔상 방지를 위한 주의사항... 4 각 부분의 이름... 6 제품의 설치방법... 10 TV를 켜려면... 15 TV를 보려면... 16 외부입력에 연결된 기기명을 설정하려면.. 한 국 어 사용설명서 LED LCD MONITOR TV 사용전에 안전을 위한 주의사항을 반드시 읽고 정확하게 사용하세요. LED LCD MONITOR TV 모델 목록 M2280D M2380D 1 www.lg.com 차례 사용하기 전에 준비 및 연결 간편 기능 채널 관련 영상 관련 음성 관련 시간 관련 화면잔상 방지를 위한 주의사항... 4 각 부분의 이름...

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

0.9 0.8 520 540 BT.709 DCI BT.2020 0.7 0.6 500 0.5 0.4 0.3 0.2 0.1 480 460 0.0 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 560 580 [ 1] 600 620 TTA Journal Vo

0.9 0.8 520 540 BT.709 DCI BT.2020 0.7 0.6 500 0.5 0.4 0.3 0.2 0.1 480 460 0.0 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 560 580 [ 1] 600 620 TTA Journal Vo 표준 시험인증 기술 동향 4K/UHD 방송용 비디오 모니터 시험인증 기술 동향 배성포 양진영 100 l 2014 07/08 0.9 0.8 520 540 BT.709 DCI BT.2020 0.7 0.6 500 0.5 0.4 0.3 0.2 0.1 480 460 0.0 0.0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 560 580 [ 1] 600

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt 변수와상수 1 변수란무엇인가? 변수 : 정보 (data) 를저장하는컴퓨터내의특정위치 ( 임시저장공간 ) 메모리, register 메모리주소 101 번지 102 번지 변수의크기에따라 주로 byte 단위 메모리 2 기본적인변수형및변수의크기 변수의크기 해당컴퓨터에서는항상일정 컴퓨터마다다를수있음 short

More information

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770>

<BFB5BBF3C1A4BAB8C3B3B8AEBDC3BDBAC5DB20BFACB1B82E687770> Black Key Region Cr R Linear Key Region θ White Key Region Cb θ Table θ Table for Chroma Suppress 1 255 0 θc θ Table for Linear Key θs θw1 θs θw2 Radius Table R Table for Chroma Suppress 1 255 0 Rc R Table

More information

Week3

Week3 2015 Week 03 / _ Assignment 1 Flow Assignment 1 Hello Processing 1. Hello,,,, 2. Shape rect() ellipse() 3. Color stroke() fill() color selector background() 4 Hello Processing 4. Interaction setup() draw()

More information

Microsoft PowerPoint - VHDL06.ppt [호환 모드]

Microsoft PowerPoint - VHDL06.ppt [호환 모드] VHDL 프로그래밍 6. 부프로그램과패키지 한동일 학습목표 부프로그램의종류와차이점과활용방법에대해서배운다. 함수를정의하고호출하는방법을배운다. 프로시저를정의하고호출하는방법을배운다. 부프로그램오버로딩의개념을이해한다. 패키지의사용목적을배운다. 설계파일, 설계단위, 설계라이브러리의개념을이해한다. VHDL 의라이브러리구조를이해한다. 2/39 부프로그램 (subprogram)

More information

LIDAR와 영상 Data Fusion에 의한 건물 자동추출

LIDAR와 영상 Data Fusion에 의한 건물 자동추출 i ii iii iv v vi vii 1 2 3 4 Image Processing Image Pyramid Edge Detection Epipolar Image Image Matching LIDAR + Photo Cross correlation Least Squares Epipolar Line Matching Low Level High Level Space

More information

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성 i-movix 소개 2005 년설립 ( 벨기에, 몽스 ), 방송카메라제작 2005년 Sprintcam Live System 개발 2007년 Sprintcam Live V2 2009년 Sprintcam Live V3 HD 2009년 Sprintcam Vvs HD 2011년 Super Slow Motion X10 2013년 Extreme + Super Slow

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

ch3.hwp

ch3.hwp 미디어정보처리 (c) -4 한남대 정보통신멀티미디어학부 MCCLab. - -...... (linear filtering). Z k = n i = Σn m Σ j = m M ij I ji 컨볼루션 영역창 I I I I 3 I 4 I 5 I 6 I 7 I 8 x 컨볼루션 마스크 M M M M 3 M 4 M 5 M 6 M 7 M 8 I 입력 영상 Z 4 = 8 k

More information

TViX_Kor.doc

TViX_Kor.doc FF PLAY MENU STOP OK REW STEREO LEFT COAXIAL AUDIO POWER COMPOSITE COMPONENT Pb S-VIDEO COMPONENT Pr USB PORT COMPONENT Y OPTICAL AUDIO STEREO RIGHT POWER LED HDD LED TViX PLAY REMOTE RECEIVER POWER ON

More information

untitled

untitled CLEBO PM-10S / PM-10HT Megapixel Speed Dome Camera 2/39 3/39 4/39 5/39 6/39 7/39 8/39 ON ON 1 2 3 4 5 6 7 8 9/39 ON ON 1 2 3 4 10/39 ON ON 1 2 3 4 11/39 12/39 13/39 14/39 15/39 Meg gapixel Speed Dome Camera

More information

Microsoft PowerPoint - IP11.pptx

Microsoft PowerPoint - IP11.pptx 열한번째강의카메라 1/43 1/16 Review 2/43 2/16 평균값 중간값 Review 3/43 3/16 캐니에지추출 void cvcanny(const CvArr* image, CvArr* edges, double threshold1, double threshold2, int aperture_size = 3); aperture_size = 3 aperture_size

More information

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770>

<C0CCBCBCBFB52DC1A4B4EBBFF82DBCAEBBE7B3EDB9AE2D313939392D382E687770> i ii iii iv v vi 1 2 3 4 가상대학 시스템의 국내외 현황 조사 가상대학 플랫폼 개발 이상적인 가상대학시스템의 미래상 제안 5 웹-기반 가상대학 시스템 전통적인 교수 방법 시간/공간 제약을 극복한 학습동기 부여 교수의 일방적인 내용전달 교수와 학생간의 상호작용 동료 학생들 간의 상호작용 가상대학 운영 공지사항,강의록 자료실, 메모 질의응답,

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M.

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. 오늘할것 5 6 HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. Review: 5-2 7 7 17 5 4 3 4 OR 0 2 1 2 ~20 ~40 ~60 ~80 ~100 M 언어 e ::= const constant

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

제5장 PLD의 이해와 실습

제5장 PLD의 이해와 실습 제 5 장 PLD 의이해와실습 실험의목표 - 프로그래머블논리소자인 PAL 과 PLA, EPROM, CPLD 등에대하여이해한다. - MAX PLUS II를이용하여 CPLD 프로그램하는방법을배운다. - CPLD 굽는법에대하여익힌다. - VHDL 간단한표현과문법에대하여소개를한다. 실험도움자료 1. PLD(Programmable Logic Device) PLD는사용자가필요로하는논리기능을직접

More information

표지

표지 2 : Retinex (Regular Paper) 17 5, 2012 9 (JBE Vol. 17, No. 5, September 2012) http://dx.doi.org/10.5909/jbe.2012.17.5.851 ISSN 1226-7953(Print) Retinex a), b), c) Color Improvement of Retinex Image Using

More information

2005CG01.PDF

2005CG01.PDF Computer Graphics # 1 Contents CG Design CG Programming 2005-03-10 Computer Graphics 2 CG science, engineering, medicine, business, industry, government, art, entertainment, advertising, education and

More information

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016) ISSN 228

(JBE Vol. 21, No. 1, January 2016) (Regular Paper) 21 1, (JBE Vol. 21, No. 1, January 2016)   ISSN 228 (JBE Vol. 1, No. 1, January 016) (Regular Paper) 1 1, 016 1 (JBE Vol. 1, No. 1, January 016) http://dx.doi.org/10.5909/jbe.016.1.1.60 ISSN 87-9137 (Online) ISSN 16-7953 (Print) a), a) An Efficient Method

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선

Gray level 변환 및 Arithmetic 연산을 사용한 영상 개선 Point Operation Histogram Modification 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 HISTOGRAM HISTOGRAM MODIFICATION DETERMINING THRESHOLD IN THRESHOLDING 2 HISTOGRAM A simple datum that gives the number of pixels that a

More information

Ⅰ. Introduction 우리들을 둘러싸고 잇는 생활 환경속에는 무수히 많은 색들이 있습니다. 색은 구매의욕이나 기호, 식욕 등의 감각을 좌우하는 것은 물론 나뭇잎의 변색에서 초목의 건강상태를 알며 물질의 판단에 이르기까지 광범위하고도 큰 역할을 하고 있습니다. 하

Ⅰ. Introduction 우리들을 둘러싸고 잇는 생활 환경속에는 무수히 많은 색들이 있습니다. 색은 구매의욕이나 기호, 식욕 등의 감각을 좌우하는 것은 물론 나뭇잎의 변색에서 초목의 건강상태를 알며 물질의 판단에 이르기까지 광범위하고도 큰 역할을 하고 있습니다. 하 색 이론과 색채관리 Ⅰ. Introduction( 일반색채 이론) Ⅱ. 색의 표현 ⅰ) 색상 ⅱ) 명도 ⅲ) 채도 ⅳ) 색의 종류 ⅴ) 색의 삼원색 ⅵ) 색의 사원색 Ⅲ. 색의 전달 ⅰ) 변천과정 ⅱ) Color space Ⅳ. 색의 재현 ⅰ) 가법 혼합 ⅱ) 감법 혼합 ⅲ) C.C.M System Ⅴ. 색의 관리 ⅰ) 목적 ⅱ) 적용범위 ⅲ) 색차계 ⅳ)

More information

CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN

CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN CMOS IMAE SENSOR and Its Application W.H. Jo System IC SP Div. MT CIS Dev. Team CONTENTS INTRODUCTION CHARE COUPLED DEVICE(CCD) CMOS IMAE SENSOR(CIS) PIXEL STRUCTURE CONSIDERIN ISSUES SINAL PROCESSIN Mobile

More information

[ 융합과학 ] 과학고 R&E 결과보고서 뇌파를이용한곤충제어 연구기간 : ~ 연구책임자 : 최홍수 ( 대구경북과학기술원 ) 지도교사 : 박경희 ( 부산일과학고 ) 참여학생 : 김남호 ( 부산일과학고 ) 안진웅 ( 부산일과학고 )

[ 융합과학 ] 과학고 R&E 결과보고서 뇌파를이용한곤충제어 연구기간 : ~ 연구책임자 : 최홍수 ( 대구경북과학기술원 ) 지도교사 : 박경희 ( 부산일과학고 ) 참여학생 : 김남호 ( 부산일과학고 ) 안진웅 ( 부산일과학고 ) [ 융합과학 ] 과학고 R&E 결과보고서 뇌파를이용한곤충제어 연구기간 : 2013. 3. 1 ~ 2014. 2. 28 연구책임자 : 최홍수 ( 대구경북과학기술원 ) 지도교사 : 박경희 ( 부산일과학고 ) 참여학생 : 김남호 ( 부산일과학고 ) 안진웅 ( 부산일과학고 ) 장은영 ( 부산일과학고 ) 정우현 ( 부산일과학고 ) 조아현 ( 부산일과학고 ) 1 -

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

슬라이드 1

슬라이드 1 HD-SDI, HDMI Matrix 소개 2016. 01.21 기술연구소 DK VASCOM 영상제작송출시스템 - 대경바스컴의영상제작송출시스템블럭다이어그램 입력부영상분배 / 영상제작송출부 HDMI/ HD-SDI Digital 3D Studio Mixing Master HSM-3005AV HDMI/ HD-SDI ATM3101HS NTSC Modulator ATC3108S

More information

삼성기초VHDL실습.PDF

삼성기초VHDL실습.PDF VHDL Simulation Synthesis - Synopsys Tool - System ASIC Design Lab : jcho@asiclabinchonackr -I - : -Bit Full Adder Simulation Synopsys Simulation Simulation Tool -2 : -Bit Full Adder Synthesis Synopsys

More information

(JBE Vol. 23, No. 2, March 2018) (Regular Paper) 23 2, (JBE Vol. 23, No. 2, March 2018) ISSN

(JBE Vol. 23, No. 2, March 2018) (Regular Paper) 23 2, (JBE Vol. 23, No. 2, March 2018)   ISSN (JBE Vol. 23, No. 2, March 2018) (Regular Paper) 23 2, 2018 3 (JBE Vol. 23, No. 2, March 2018) https://doi.org/10.5909/jbe.2018.23.2.302 ISSN 2287-9137 (Online) ISSN 1226-7953 (Print) - a), a) Enhanced

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2

8-VSB (Vestigial Sideband Modulation)., (Carrier Phase Offset, CPO) (Timing Frequency Offset),. VSB, 8-PAM(pulse amplitude modulation,, ) DC 1.25V, [2 VSB a), a) An Alternative Carrier Phase Independent Symbol Timing Offset Estimation Methods for VSB Receivers Sung Soo Shin a) and Joon Tae Kim a) VSB. VSB.,,., VSB,. Abstract In this paper, we propose

More information

목차 BUG DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4

목차 BUG DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4 ALTIBASE HDB 6.5.1.5.10 Patch Notes 목차 BUG-46183 DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG-46249 [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4 BUG-46266 [sm]

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

해양모델링 2장5~18 2012.7.27 12:26 AM 페이지6 6 오픈소스 소프트웨어를 이용한 해양 모델링 2.1.2 물리적 해석 식 (2.1)의 좌변은 어떤 물질의 단위 시간당 변화율을 나타내며, 우변은 그 양을 나타낸 다. k 5 0이면 C는 처음 값 그대로 농

해양모델링 2장5~18 2012.7.27 12:26 AM 페이지6 6 오픈소스 소프트웨어를 이용한 해양 모델링 2.1.2 물리적 해석 식 (2.1)의 좌변은 어떤 물질의 단위 시간당 변화율을 나타내며, 우변은 그 양을 나타낸 다. k 5 0이면 C는 처음 값 그대로 농 해양모델링 2장5~18 2012.7.27 12:26 AM 페이지5 02 모델의 시작 요약 이 장에서는 감쇠 문제를 이용하여 여러분을 수치 모델링 세계로 인도한다. 유한 차분법 의 양해법과 음해법 그리고 일관성, 정확도, 안정도, 효율성 등을 설명한다. 첫 번째 수치 모델의 작성과 결과를 그림으로 보기 위해 FORTRAN 프로그램과 SciLab 스크립트가 사용된다.

More information

DWCOM15/17_manual

DWCOM15/17_manual TFT-LCD MONITOR High resolution DWCOM15/17 DIGITAL WINDOW COMMUNICATION DIGITAL WINDOW COMMUNICATION 2 2 3 5 7 7 7 6 (Class B) Microsoft, Windows and Windows NT Microsoft VESA, DPMS and DDC Video Electronic

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Microsoft PowerPoint - java1-lab5-ImageProcessorTestOOP.pptx

Microsoft PowerPoint - java1-lab5-ImageProcessorTestOOP.pptx 2018 학년도 1 학기 JAVA 프로그래밍 II 514760-1 2018 년봄학기 5/10/2018 박경신 Lab#1 (ImageTest) Lab#1 은영상파일 (Image) 을읽어서정보를출력 Java Tutorials Lesson: Working with Images https://docs.oracle.com/javase/tutorial/2d/images/index.html

More information

untitled

untitled TRIUM SH Trium SH TRIUM SH TRIUM SH TRIUM SH TRIUM SH TRIUM SH TRIUM SH 1. (1) 1 TRIUM SH 2. (1) 1CH 4CH 9CH 16CH PIP (2) 1 TRIUM SH (3) 1 TRIUM SH 1 CLICK TRIUM SH 3. 1 TRIUM SH 4. (1) (2) 1 TRIUM

More information

<35312DBCB1C8A3B5B52E687770>

<35312DBCB1C8A3B5B52E687770> 논문접수일 : 2011.09.25 심사일 : 2011.10.07 게재확정일 : 2011.10.24 선호도 높은 웹페이지의 디자인요소 분석연구 - 그래픽이미지, 색채, 레이아웃, 배경을 중심으로 - A study on the Design Factor Analysis in a High Preferable Web site Design - With a focus on

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

1 01 [ 01-02 ] 01. 02. 9 01 01 02 02 [ 01-05 ] 01. 02. 03. 04. 05. 10 plus 002

1 01 [ 01-02 ] 01. 02. 9 01 01 02 02 [ 01-05 ] 01. 02. 03. 04. 05. 10 plus 002 1 01 [ 01-02 ] 01. 02. 9 01 01 02 02 [ 01-05 ] 01. 02. 03. 04. 05. 10 plus 002 01 01 02 02 03 04 03 04 003 05 05 [ 06-10 ] 06. 07. 08. 09. 10. 11 plus 004 06 06 07 07 08 08 09 09 10 10 005 [ 11-15 ] 11.

More information

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - o8.pptx 메모리보호 (Memory Protection) 메모리보호를위해 page table entry에 protection bit와 valid bit 추가 Protection bits read-write / read-only / executable-only 정의 page 단위의 memory protection 제공 Valid bit (or valid-invalid bit)

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

black magic :, white magic : - - : - : 18:9~14 9 10 11 12 13 14 ( 18:9-14) - - (manipulation),.,, 19:26~29 18:10~11 ( 28:16~17) -- () *

black magic :, white magic : - - : - : 18:9~14 9 10 11 12 13 14 ( 18:9-14) - - (manipulation),.,, 19:26~29 18:10~11 ( 28:16~17) -- () * 2 > > > : : ~ : ~ : ~ 39 : > > > > > : : : : :,? --??. -. - : black magic and white magic black magic :, white magic : - - : - : 18:9~14 9 10 11 12 13 14 ( 18:9-14) - - (manipulation),.,, 19:26~29 18:10~11

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 -

(Asynchronous Mode) ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - (Asynchronous Mode) - - - ( 1, 5~8, 1~2) & (Parity) 1 ; * S erial Port (BIOS INT 14H) - 1 - UART (Univ ers al As y nchronous Receiver / T rans mitter) 8250A 8250A { COM1(3F8H). - Line Control Register

More information

Microsoft PowerPoint - logo_2-미해답.ppt [호환 모드]

Microsoft PowerPoint - logo_2-미해답.ppt [호환 모드] Chap.2 Logo 프로그래밍기초 - 터틀그래픽명령어 ( 기본, 고급 ) 학습목표 터틀의이동과선그리기에대해살펴본다. 터틀의회전에대해살펴본다. 터틀펜과화면제어에대해살펴본다. 2012. 5. 박남제 namjepark@jejunu.ac.kr < 이동하기 > - 앞으로이동하기 forward 100 터틀이 100 픽셀만큼앞으로이동 2 < 이동하기 > forward(fd)

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

歯처리.PDF

歯처리.PDF E06 (Exception) 1 (Report) : { $I- } { I/O } Assign(InFile, InputName); Reset(InFile); { $I+ } { I/O } if IOResult 0 then { }; (Exception) 2 2 (Settling State) Post OnValidate BeforePost Post Settling

More information

USER GUIDE

USER GUIDE Solution Package Volume II DATABASE MIGRATION 2010. 1. 9. U.Tu System 1 U.Tu System SeeMAGMA SYSTEM 차 례 1. INPUT & OUTPUT DATABASE LAYOUT...2 2. IPO 중 VB DATA DEFINE 자동작성...4 3. DATABASE UNLOAD...6 4.

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

10주차.key

10주차.key 10, Process synchronization (concurrently) ( ) => critical section ( ) / =>, A, B / Race condition int counter; Process A { counter++; } Process B { counter ;.. } counter++ register1 = counter register1

More information

<B1DDC0B6C1A4BAB8C8ADC1D6BFE4B5BFC7E228C1A63836C8A3292E687770>

<B1DDC0B6C1A4BAB8C8ADC1D6BFE4B5BFC7E228C1A63836C8A3292E687770> 금융정보화 주요동향 제 제86호 2007. 3. 20 1. 금융업계 IT동향 2. IT 동향 3. 주요 IT용어 정 보 시 스 템 본 부 종 합 2007. 3월 제86호 1. 금융업계 IT동향 인터넷 사업자 보증보험 가입 의무화 추진 예정 보험사의 네트워크 환경 개선 동양생명 SOA(Service Oriented Architecture) 기반 차세대시스템 개발

More information

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A 예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = 1 2 3 4 5 6 7 8 9 B = 8 7 6 5 4 3 2 1 0 >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = 0 0 0 0 1 1 1 1 1 >> tf = (A==B) % A 의원소와 B 의원소가똑같은경우를찾을때 tf = 0 0 0 0 0 0 0 0 0 >> tf

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

1. 서 론

1. 서 론 두 장의 영상을 이용한 저조도 환경에서의 실용적 계산 사진 기법과 Mosaic 에의 응용 Practical Computational Photography with A Pair of Images under Low Illumination and Its Application to Mosaic 안택현 O, 홍기상 포항공과대학교 정보통신학과 O, 포항공과대학교 전자전기공학과

More information

hwp

hwp 100% Concentration rate (%) 95% 90% 85% 80% 0.5 1.5 2.5 3.5 4.5 5.5 6.5 7.5 Time (min) Control box of RS485 Driving part Control trigger Control box of driving car Diaphragm Lens of camera Illumination

More information

Index Process Specification Data Dictionary

Index Process Specification Data Dictionary Index Process Specification Data Dictionary File Card Tag T-Money Control I n p u t/o u t p u t Card Tag save D e s c r i p t i o n 리더기위치, In/Out/No_Out. File Name customer file write/ company file write

More information

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate ALTIBASE HDB 6.1.1.5.6 Patch Notes 목차 BUG-39240 offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG-41443 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate 한뒤, hash partition

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

<32B1B3BDC32E687770>

<32B1B3BDC32E687770> 008년도 상반기 제회 한 국 어 능 력 시 험 The th Test of Proficiency in Korean 일반 한국어(S-TOPIK 중급(Intermediate A 교시 이해 ( 듣기, 읽기 수험번호(Registration No. 이 름 (Name 한국어(Korean 영 어(English 유 의 사 항 Information. 시험 시작 지시가 있을

More information

PowerPoint Presentation

PowerPoint Presentation FORENSICINSIGHT SEMINAR SQLite Recovery zurum herosdfrc@google.co.kr Contents 1. SQLite! 2. SQLite 구조 3. 레코드의삭제 4. 삭제된영역추적 5. 레코드복원기법 forensicinsight.org Page 2 / 22 SQLite! - What is.. - and why? forensicinsight.org

More information

Microsoft PowerPoint - ch10 - 이진트리, AVL 트리, 트리 응용 pm0600

Microsoft PowerPoint - ch10 - 이진트리, AVL 트리, 트리 응용 pm0600 균형이진탐색트리 -VL Tree delson, Velskii, Landis에의해 1962년에제안됨 VL trees are balanced n VL Tree is a binary search tree such that for every internal node v of T, the heights of the children of v can differ by at

More information

XJ-A142_XJ-A147_XJ-A242_XJ-A247_XJ-A252_XJ-A257_XJ-M141_XJ-M146_XJ-M151_XJ-M156_XJ-M241_XJ-M246_XJ-M251_XJ-M256

XJ-A142_XJ-A147_XJ-A242_XJ-A247_XJ-A252_XJ-A257_XJ-M141_XJ-M146_XJ-M151_XJ-M156_XJ-M241_XJ-M246_XJ-M251_XJ-M256 데이터 프로젝터 XJ-A 시리즈 XJ-A142/XJ-A147* XJ-A242/XJ-A247* XJ-A252/XJ-A257* XJ-M 시리즈 XJ-M141/XJ-M146* XJ-M151/XJ-M156* XJ-M241/XJ-M246* XJ-M251/XJ-M256* *USB 모델 KO 사용설명서 본 설명서에서 XJ-A 시리즈 및 XJ-M 시리즈 는 위에 나열된 특정

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770>

<BACFC7D1B3F3BEF7B5BFC7E22D3133B1C733C8A3504446BFEB2E687770> 북한의 주요 농업 관련 법령 해설 1) 이번 호와 다음 호에서는 북한의 주요 농업 관련 법령을 소개하려 한다. 북한의 협동농장은 농업협동조합기준규약초안 과 농장법 에 잘 규정되어 있다. 북한 사회주의 농업정책은 사회 주의농촌문제 테제 2), 농업법, 산림법 등을 통해 엿볼 수 있다. 국가계획과 농업부문의 관 계, 농산물의 공급에 관해서는 인민경제계획법, 사회주의상업법,

More information

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9

1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 (1920~1945 ) 1 9 2 0 3 1 1912 1923 1922 1913 1913 192 4 0 00 40 0 00 300 3 0 00 191 20 58 1920 1922 29 1923 222 2 2 68 6 9 1918 4 1930 1933 1 932 70 8 0 1938 1923 3 1 3 1 1923 3 1920 1926 1930 3 70 71

More information

07.045~051(D04_신상욱).fm

07.045~051(D04_신상욱).fm J. of Advanced Engineering and Technology Vol. 1, No. 1 (2008) pp. 45-51 f m s p» w Á xá zá Ÿ Á w m œw Image Retrieval Based on Gray Scale Histogram Refinement and Horizontal Edge Features Sang-Uk Shin,

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., -

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. vol. 29, no. 6, Jun Rate). STAP(Space-Time Adaptive Processing)., - THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2018 Jun.; 29(6), 457463. http://dx.doi.org/10.5515/kjkiees.2018.29.6.457 ISSN 1226-3133 (Print)ISSN 2288-226X (Online) Sigma-Delta

More information

Microsoft PowerPoint - a10.ppt [호환 모드]

Microsoft PowerPoint - a10.ppt [호환 모드] Structure Chapter 10: Structures t and Macros Structure 관련된변수들의그룹으로이루어진자료구조 template, pattern field structure를구성하는변수 (cf) C언어의 struct 프로그램의 structure 접근 entire structure 또는 individual fields Structure는

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL10_full.ppt [호환 모드] VHL 프로그래밍 10. 논리합성및설계기법 한동일 학습목표 VHL 을이용한시스템구현과정을이해한다. 논리합성이가능한 RTL 코드의개념을이해한다. ASIC 제작과정을이해한다. FPGA 제작과정을이해한다. RTL 시뮬레이션과정을이해한다. 논리합성이되는구문과되지않는구문을파악한다. 좋은 VHL 코딩스타일을따른다. 준안정상태의개념을이해한다. 비동기신호인터페이스를구현할수있다.

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

윈도우즈프로그래밍(1)

윈도우즈프로그래밍(1) 제어문 (2) For~Next 문 윈도우즈프로그래밍 (1) ( 신흥대학교컴퓨터정보계열 ) 2/17 Contents 학습목표 프로그램에서주어진특정문장을부분을일정횟수만큼반복해서실행하는문장으로 For~Next 문등의구조를이해하고활용할수있다. 내용 For~Next 문 다중 For 문 3/17 제어문 - FOR 문 반복문 : 프로그램에서주어진특정문장들을일정한횟수만큼반복해서실행하는문장

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

2/21

2/21 지주회사 LG의 설립과정 및 특징 소유구조를 중심으로 이은정_좋은기업지배구조연구소 기업정보실장 이주영_좋은기업지배구조연구소 연구원 1/21 2/21 3/21 4/21 5/21 6/21 7/21 8/21 9/21 10/21 11/21 12/21 13/21 14/21 15/21 16/21 17/21 18/21 19/21 20/21 [별첨1] 2000.12.31.현재

More information

4장.문장

4장.문장 문장 1 배정문 혼합문 제어문 조건문반복문분기문 표준입출력 입출력 형식화된출력 [2/33] ANSI C 언어와유사 문장의종류 [3/33] 값을변수에저장하는데사용 형태 : < 변수 > = < 식 > ; remainder = dividend % divisor; i = j = k = 0; x *= y; 형변환 광역화 (widening) 형변환 : 컴파일러에의해자동적으로변환

More information

歯기구학

歯기구학 1 1.1,,.,. (solid mechanics)., (kinematics), (statics), (kinetics). ( d y n a m i c s ).,,. ( m e c h a n i s m ). ( l i n k a g e ) ( 1.1 ), (pin joint) (revolute joint) (prismatic joint) ( 1.2 ) (open

More information