Size: px
Start display at page:

Download ""

Transcription

1 중소 중견기업기술로드맵 Technology Roadmap for SME - 반도체 -

2

3 CONTENTS 전략분야 반도체 1. 개요 1 2. 국내외정책동향 4 3. 산업이슈및동향 8 4. 시장동향및전망 기술동향및이슈 중소기업시장대응전략 중소기업전략제품 27 전략제품 ALD 전구체 1. 개요 산업환경분석 시장환경분석 기술환경분석 중소기업환경 기술로드맵기획 74 CMP 슬러리 1. 개요 산업환경분석 시장환경분석 기술환경분석 중소기업환경 기술로드맵기획 121

4 포토레지스트 1. 개요 산업환경분석 시장환경분석 기술환경분석 중소기업환경 기술로드맵기획 169 TSV 용패키징소재 1. 개요 산업환경분석 시장환경분석 기술환경분석 중소기업환경 기술로드맵기획 211 전력반도체소자 1. 개요 산업환경분석 시장환경분석 기술환경분석 중소기업환경 기술로드맵기획 254 자동차 SoC 부품 1. 개요 산업환경분석 시장환경분석 기술환경분석 중소기업환경 기술로드맵기획 298

5 실리콘이미지센서 1. 개요 산업환경분석 시장환경분석 기술환경분석 중소기업환경 기술로드맵기획 341 LED 소자 1. 개요 산업환경분석 시장환경분석 기술환경분석 중소기업환경 기술로드맵기획 385

6

7 반도체 전략분야현황분석

8

9 전략분야현황분석 반도체 1. 개요 가. 정의 반도체는거의전기가통하지않지만빛이나열, 또는불순물을가해주면전기가통하고또한 조절이가능할수있는물질로정보를저장할수있는메모리반도체와정보저장없이연산혹 은제어기능을담당하는시스템반도체 ( 비메모리반도체 ) 로구분가능 [ 반도체제품별분류 ] 구분중분류세분류내용 메모리 비메모리 * 출처 : 산업연구원 휘발성 (DRAM) 비휘발성 (DROM) 시스템 IC 개별소자 (discrete) 기타 D 램 S 램 V 램 Mask 롬 EP 롬 EEP 롬 FLASH 메모리 마이크로컴포넌트 Logic(ASIC) Analog IC LDI 주로 PC 용주기억장치에이용되며정보처리속도및그래픽처리능력에따라 SD 램, 램버스 D 램, DDR, DDR2 등으로구분 컴퓨터의캐시 (cache), 게임기등에사용 화상정보를기억하기위한전용메모리 제조공정시고객이원하는정보를저장하며, 전자게임기의 S/W 저장용, 전자악기, 전자사전등에사용 자외선을이용하여정보를지우거나저장 ROM 의특징과입출력할수있는 RAM 의특징을겸비 전력소모가적고고속프로그래밍및대용량저장이가능하여컴퓨터의 HDD 를대체할수있는제품으로 NOR( 코드저장 ) 형과 NAND( 데이터저장 ) 형으로구분 컴퓨터를제어하기위한핵심부품으로 Micro Processor Unit, Micro Controller Unit, Digital Signal Processor 등이있음 사용자의요구에의해설계된특정화된반도체이며, 주문형 IC 로서다품종소량생산에적합 제반신호의표현처리를연속적인신호변환에의해인식하는 IC 로서 Audio/Video, 통신용, 신호변환용으로사용 LCD Driver IC 로서구동또는제어에필수적인 IC 다이오드, 트랜지스터처럼 IC 와는달리개별품목으로서단일기능을갖는제품을의미 Opto( 광반도체 ), 반도체센서등 1

10 반도체 메모리반도체는데이터를기억하는장치로램과롬으로구분되며, 램의경우전원이공급되는동안만데이터를공급하며, 롬의경우전원이차단되어도데이터가보관 DRAM은전원이켜져있는동안에만정보가저장되는휘발성메모리로주로컴퓨터의메인메모리, 동영상및 3D 게임구현을위한그래픽메모리로사용되고있으며, 가전제품의디지털화에따라스마트 TV, 스마트냉장고그리고프린터등에도사용이확대. 스마트폰및태블릿 PC 등에도모바일용 DRAM의사용량급증 플래시메모리는전원이공급되지않아도저장된데이터가지워지지않는비휘발성메모리로크게노어 (NOR) 형과낸드 (NAND) 형으로구분되며, 낸드플래시는순차적정보접근이가능한비휘발성메모리칩으로서, 디지털비디오나디지털사진과같은대용량정보를저장하는데사용 낸드플래시제품이적용되는분야는디지털카메라, USB드라이브, MP3플레이어, 차량용내비게이션, SSD(Solid State Drive), Flash Array 그리고스마트폰, 태블릿 PC와같은모바일기기등임 비메모리반도체는정보처리를목적으로제작되는반도체로특성에따라, 아날로그, 로직, 마이크로, 디스크리트, 센서로분리 시스템반도체는핵심기능을집약한반도체로모바일기기, 자동차, 가전, 첨단의료기기 등에광범위하게적용 - 프로세서반도체 : 전기전자시스템의신호, 정보, 에너지프로세서기능을수행하며, 관련제품은 스마트기기용 multi core CPU SoC, 무선통신서버용고성능 DSP SoC 등 - 자동차 SoC: 자동차주행관련정보를바탕으로엔진, 트랜스미션및각종전자장치의동작제어를위한 SoC로인포테인먼트, 파워트레인 / 샤시, 바디, 신뢰성및시험평가기술을포함 - 통신 / 방송 SoC: 스마트폰, 태블릿등차세대이동통신기기에필요한유무선데이터통신을포함하는동영상, 멀티미디어콘텐츠등의다양한데이터서비스를지원하며, 관련제품은 4G LTE-A 모뎀, 5G 모뎀, UHDTV 등 - 전력 / 에너지반도체 : 전력장치용반도체소자를이용하여전력변환이나제어용으로최적화되어 있는반도체로필요한전력을안정적으로공급하는기능 2

11 전략분야현황분석 나. 범위및분류 (1) 범위 반도체기술은메모리반도체, 시스템반도체, 그리고반도체를생산하기위한반도체소자, 재료및부품, 공정, 장비기술을포함 반도체소자는컴퓨터, 모바일기기, 가전, 자동차, 산업용전장기기, 스토리지, 방송 / 통신, 에너지, 의료, 환경등에서연산, 제어, 전송, 변환기능을수행하는전자소자 반도체재료및부품은반도체소자를직접구성하는재료, 반도체소자를완성하기위한제조공정진행중에사용되는재료, 반도체소자를조립하여완성품인반도체칩을드는데사용되는재료를모두지칭 반도체공정및측정장비는회로설계에서부터웨이퍼제조하여조립및검사하는단계까지의모든장비를지칭 구분 반도체소자 범위 모바일기기, 디지털가전, 컴퓨터, 자동차등에서연산, 제어, 전송, 변환 기능을수행하는전자소자모두포함 반도체재료및부품 웨이퍼, 화학증착공정 (CVD) 전구체, 화학적기계적연마 (CMP) 슬러리, 화학적기계적연마 (CMP) 패드, 인쇄회로기판 (PCB), 도포막, 본딩와이어, 스퍼터링타겟, 도금액, 포토마스크, 포토레지스트, 화학약품, TSV용패키징소재등을포함 반도체공정및측정장비 미세공정을다룰수있는공정장비, 제조및가공된웨이퍼의패키징, 검사까지의공정장비를포함하며, 반도체공정에따라전ㆍ공정, 후공정, 테스트장비로구성 (2) 분류 반도체는미래성장유망전략분야중에한분야로서, 산업기술분류표상에서전기전자분 야에포함되며, 반도체장비와반도체소자및시스템에포함 전략분야산업기술 _ 대분류산업기술 _ 중분류 반도체 전기, 전자 반도체장비 반도체소자및시스템 3

12 반도체 2. 국내외정책동향 정부는주력산업의핵심소재및부품경쟁력확보를위한지원확대 정부는 4차산업혁명에선제적으로대응하고주력사업을고도화할수있도록제4차소재, 부품발전계획을추진하는가운데이와관련하여 25년까지미래첨단新소재, 부품 100대유망기술을개발 미래창조과학부는 100대기술개발등을뒷받침할수있도록혁신적물성의소재개발등원천기술확보를위한기초연구를확대할계획 * 출처 : 산업통상자원부 융복합소재, 부품개발을효율적으로지원할수있도록소재, 부품인프라개선 현재 15 개신뢰성센터를 5 개융합얼라이언스체계 ( 금속, 화학, 섬유, 세라믹 / 전자, 기계 / 자동차 ) 로개편 첨단소재, 부품정보를수집. 재생산할수있는빅데이터플랫폼, 상용화기간. 비용을단축할수 있는가상공학플랫폼을구축 첨단소재. 부품산업인력양성을위해소재. 부품분야를총괄하는인적자원협의체를지정하고, 가상공학전문인력등융, 복합소재. 부품관련인력양성을확대할계획 소재. 부품기업의글로벌진출역량을향상시키지위한지원을강화하고지속확대 국내중소기업의 Global Value Chain 진입을지원하기위해글로벌파트너링 (Global Partnering) 사업을확대 * GP 사업 : 소재. 부품기업의글로벌밸류체인 (CGV) 진입지원을위해글로벌기업의수요발굴. 국내기업매칭, 품질관리교육등지원 4

13 전략분야현황분석 정부는미래부 산업부중심으로지능형반도체관련사업추진 지능형반도체는스마트카, 사물인터넷, 웨어러블디바이스등의스마트기기가지능형서비스를 제공할수있도록하는 SW 및 SoC 융합기술로반도체관점에서는시스템반도체, SW 관점에 서는시스템소프트웨어및부가서비스구현 SW 등이모두포함. 특히지능형반도체는산업적파급효과가큰핵심부품이자기반요소로, 향후다양한 주력산업과융합한시너지창출기대 [SW-SoC 융합개념도 ] [ 지능형반도체범위 ] * 출처 : 임채덕외 (2013) * 출처 : 산업연구원 정부는상대적으로열악한시스템반도체육성을위해 2010년부터종합발전계획을수립, R&D사업신설등체계적인지원방안을추진 시스템IC 2010사업, 시스템IC 2015사업 ( 시스템반도체상용화기술개발 ) 을통해시스템반도체개발을지원하고, 중소 중견팹리스및파운드리업체육성을장려 시스템반도체및장비산업육성전략은 2015년까지약 1조 7,000억원을투입하여시스템반도체와장비의국산화및세계적기업육성등을목표로함 임베디드 SW 분야는 2013년말발전전략을수립하고, 이를토대로주력산업연계형 R&D, 고급인력양성, 시장활성화및산업생태계개선정책추진중 산업부는 월 임베디드 SW 발전전략 을발표하고, 이에따라주력산업별 SW + SoC + 플랫폼 등의통합개발을추진 최근에는 SoC-SW 플랫폼등융합기술의중요성이강조되면서관련사업이활발하게추진중 5

14 반도체 [ 지능형반도체관련정부지원사업 년기준 ] 구분내역산업세부과제 기술개발 인프라 SW 컴퓨팅산업원천기술개발사업 산업융합원천기술개발사업 시스템반도체상용화기술개발사업 산업융합원천기술개발사업 SW 컴퓨팅산업원천기술개발사업 산업융합원천기술개발사업 * 출처 : 산업연구원, 이기종멀티코어기반 SW 플랫폼기술개발및지속가능한오픈개발인프라구축 ( 11-14) 실시간지능형서비스지원을위한소프트웨어융합지원 IP 개발 ( 14-17) 수입의존형시스템반도체국산화 ( 14-18) Multi-domain 자동차전장구조를위한 ECU용 SoC 및임베디드 SW 개발 ( 14-18) 이종멀티코어클러스터기반스마트디바이스용하이퍼커넥션서비스지원 SW-SoC 융합플랫폼핵심기술개발 ( 14-17) 국내주력제품용 SW-SoC 융복합미래형반도체기술및플랫폼개발 ( 14-17) 스타팹리스시스템반도체세계화기술사업 ( 11년-17년) 시스템반도체산업기반조성지원 ( 13-15) 공공 R&D과제를활용한반도체인력공급 ( 14-20) SW-SoC융합창의설계인력양성 ( 14-15) 14 년예산 ( 백만원 ) 부처 2,550 미래부 2,000 산업부 5,000 산업부 1,900 산업부 4,000 미래부 1,275 산업부 전자정보디바이스산업핵심기술개발사업 1,800 산업부 정보통신연구기반구축사업 4,879 산업부 산업융합원천기술개발사업 3,214 산업부 시스템반도체설계인력양성사업 2,600 미래부 해외인재스카우팅사업 해외인재스카우팅사업 ('14~'18) 2,000 미래부 시스템반도체상용화기술개발사업 한중협력 R&BD센터 ( 12년 ~ 16) 300 산업부 계 31,218 미국, 일본그리고기타정부의 SiC, GaN 전력반도체육성정책추진 전력반도체는전력변환, 전력변압, 전력안정, 전력분배및전력제어등을수행하는데사용되는반도체및부품인가운데, 차세대전력반도체는기존 Si기반의반도체소자외에 WBG( 화합물반도체 ) 물질 (SiC, GaN, 인공다이아몬드 ) 기반의소자로제작하는것으로열특성향상, 속도강화, 고전압 / 고전류가능및스위칭손실최소화등이가능한전력반도체 전력반도체는다양한분야에연관응용되고있으며, 모바일기기의증가와전기자동차개발과 맞물려적용범위가확대되고있는추세 6

15 전략분야현황분석 SiC 전력반도체는산업기기, 태양전지, 전기차, 철도등파워일렉트로닉스분야에서실리콘보다전력변환손실이적고, 재료물성이우수해 SiC 디바이스 / 모듈의실용화가요구되며, GaN 전력반도체는와이드밴드갭특성과고온 (700 ) 안정성의장점이있고, 고출력전력증폭기뿐만아니라, 고전력스위칭소자로써차세대에너지절감용핵심소자로부각 미국의파워아메리카 (Power America) 는 2014 년미국에너지부가시작한 WBG 반도체개 발및적용프로젝트로서 SiC, GaN 전력반도체개발이핵심목표이며 SiC 공정개발과시험 생산에활용할전망 일본은 2014 년 전략적이노베이션창조프로그램 (SIP) 의하나로서차세대파워일레트로닉 스과제를시작함. 과제연구기간은 5 개년 (2014~2019) 이외 EU 는 Last-Power 가추진된바있으며, 아시아에서는대만이 WBG 전자소자연합체로 년까지 600V SiC, GaN 디바이스개발에주력중 [ 국외전력반도체육성현황 ] 국가기간및예산기관주요내용 미국 2015~ 억달러규모, 주정부 (1.35 억달러 ) 및기업 2014~ 억원규모, 정부 (DOE), 민간 NY-PEMC (New York Power Electronics Manufacturing Consortium) NGPTI (Next Generation Power Electronics Institute) 6 SiC 민관합동컨소시움 WBG 연구개발대학, 기업컨소시움 일본 2012~ 1600 억원규모 TPEC (Tsukuba Power-Electronics Constellation WBG 연구개발 2020 까지 SiC 상용화 EU 2003~ Project Base 로예산운영 ECPE (European Center for Power Electronics) SiC, GaN 등고효율전력소자포괄적개발 * 출처 : 조명. 전기설비학회지 < 전력반도체기술개발동향 2016,03> 7

16 반도체 3. 산업이슈및동향 가. 산업이슈 반도체산업의수출감소 반도체수출액은 16 년 11 월누적기준 3.0% 감소 메모리반도체는주요스마트폰업체및스토리지향수요증가, D 램. 낸드플래시가격상승으로 2016 년 8 월이후성장세를유지하였으나시스템반도체의패키징및종합반도체 (IDM) 물량 감소지속으로반도체 11 월누적수출규모감소 지역별로베트남판매호조에도수출의 60% 이상을차지하는중국 ( 홍콩포함 ) 실적부진 * 출처 : Dramexchange, , 현물가격기준 8 * 출처 : 미래창조과학부 2016 년 11 월 ICT 산업수출입동향

17 전략분야현황분석 시장환경변화에적응하기위해신경쟁력확보수단으로차세대메모리개발관심증대 회로가미세화될수록회로간간섭현상등으로미세화공정한계가예상됨에따라기존 DRAM 업계경쟁력우위를결정하는공정미세화경쟁으로는향후한계에봉착할것으로전 망 출처 : KDB 산업은행자료인용 ( 단위 nm), Gartner(2015.9) [ 메모리제품의가격변동추이 ] 추가적인미세화공정기술을개발하는데과다비용이투입될것으로보임에따라새로운방식의 차세대메모리반도체 (D 램의빠른처리속도와낸드플래시의대용량저장기능을합친메모리 반도체 ) 에대한관심이증대 기존메모리반도체기술고도화에는 Multi e-beam기술등을이용한공정미세화, 3D IC(TSV, 수직형반도체등 ), 450mm 웨이퍼제작기술등이있음 Multi e-beam기술은 Photo Lithography없이짧은파장의빛을투사하여배선패터닝을할수있는공정미세화를위한기반기술 공정미세화는 Chip을소형화하고웨어퍼당 Chip의개수를늘려생산성을향상 3D IC(TSV, 수직형반도체등 ) 는기존수평반도체를수직으로증착하여기존대비용량을 확대 450mm 웨이퍼제작기술은기존 300mm 웨이퍼의구경을늘려서 Chip을생산할수있게하는기술 중국반도체산업강세추이 중국정부는 2015년신흥산업 6대프로젝트를발표한가운데이중중점프로젝트하나로고성능 IC를지정하여 14nm칩제조기술을개발하는등구체적인로드맵을제시 중국정부가첨단 IC 양산기술확립을가속시키는목적으로발족시킨중국 IC산업펀드의융자가 2015년 6월까지 200억위안 ( 약 3조 8천억원 ) 에도달하였고, IC업계투자확대가예상되어중국정부의 IC사업펀드의융자범위는년간 400억위안규모로팽창전망 9

18 반도체 중국기업들이부족한기술을자금력으로매입하는 차이나머니 가해외유력전자업체매수를 가속화 중국기업자본매수대상내용 레노보 IBM( 서버사업 ) 매수액 1,493 억엔, PC 서버사업 청광자광그룹 JCET 화천과기 HP보유의중국공장의주식 51% Micron사 Stats Chip PAC FCI 매수액 5,975억엔, 서버나라우터등생산기술과생산시설 매수제안액 2.7 조엔, DRAM 의제조기술과생산시설등 매수액 336 억엔, FC 나 Bumping 기술, 싱가포르, 한국, 중국 ( 상해 ) 의생산시설 매수액 48.5 억엔, FC 나 Bumping 기술, 중국 ( 상해 ) 의생산시설 SMIC Siltera 200mm 공장 ( 월산능력 4 만매 ) 동부하이텍 CIS 나 Power 반도체등의생산기술, 200 mm 및 300 mm 공장 중국자본펀드 OVTI CIS 의 IP, 개발거점등 대당전신 MARVELL 통신용 IP, 개발거점등 중국자본펀드 미국의 ISSI DRAM이나 SRAM, NOR Flash 등의 IP, 개발거점 * 출처 : Semiconductor & Display Korea 차량용반도체시장고성장중이나국내경쟁력취약 차량용반도체는차량내 외부의온도, 압력및속도등의각종정보를측정하는센서와엔진, 트랜스미션및전자장치등을조정하기위해사용되는반도체로안전과직결되기때문에높은신뢰성과내구성이요구되며, 진입장벽이높은고부가가치시장 모바일, 가전용반도체시장은점차포화되고있는반면차량용반도체시장은고성장중, 차량용반도체시장은 14년기준전년대비 10.3% 성장한 299억달러규모이며, 차량의스마트화및자율주행등확실한시장견인요인에의해연평균 6% 이상의고성장이전망 차량용반도체시장성장은자동차판매대수증가보다는차량내전장부품탑재비중증가에기인하고있으며, 하이브리드및전기자동차사장확대등에따라지속성장전망 지역별로는미국과아시아의성장세가비교적큼 국내의경우반도체업계매출의 96% 가컴퓨팅하드웨어, 유무선통신, 가전등 3대적용분야에집중되어있으며, 차량용반도체는 2% 에불과하여세계차량용반도체시장에서국내업체의점유율은 3% 에불과 현대기아차는자동차전장부품개발및반도체설계분야강화를위해현대모비스, 현대케피코와함께현대오트론을설립하고, 전자제어소프트웨어플랫폼과차량용반도체설계를핵심사업영역으로지정하면서독자개발을추진 현대모비스는지능형배터리센서, 발전제어시스템을제어하는반도체, 주차지원및차선, 영상 10

19 전략분야현황분석 인식을하는반도체, 차선이탈경보, 레이더, 전자제어장치 (ECU), 경보장치를지원하는반도체를개발 삼성전자는 2015년전장사업팀을신설하고단기간내역량확보를목표로초기에는인포테인먼트와자율주행의구현에집중하고, 향후삼성디스플레이, 삼성전기, 삼성SDI 등계열사간협업으로자동차관련부품사업을추진 SK하이닉스는네트워크반도체관련공정개발등차량용반도체외주생산과파운드리사업을시작하여차량용하드웨어 IP를개발하는데주력하고있으며, 일부생산라인공정을시스템반도체로전환 만도는 Freescale과협력하여자동차용반도체기술을개발하고있으며, 환경정보를이용하는지능형차량전자제어장치 (ECU) 를개발 동부하이텍은자동차용반도체파운드리전문기업으로변화하기위한노력의일환으로, 미국자동차부품협회 (AEC) 품질기준통과와함께차량용반도체생산기반을마련하였으며, 15개국이상의차량용반도체업체의디자인을양산 실리콘윅스는 4개의모터를하나의반도체로구동하는멀티채널모터구동칩을세계최초로개발하여양산하고있으며, 독일의파운드리기업인 X-Fab과제휴하여자동차의위치변화를감지하여엑셀레이터, 브레이크등에적용되는변위센서의양산을시작 네패스는차세대반도체공정기술을상용화하여자동차용첨단센서 (Advanced Smart Cruise Control) 를양산 에이디칩스는팹리스전문업체로모뎀, 차선이탈경고시스템, 지능형교통시스템용반도체개발에성공 11

20 반도체 나. 핵심플레이어동향 인텔, 29 년만에메모리산업컴백 비메모리에주력하고있는세계최대의반도체업체인 Intel 이 3 차원크로스포인트 란뉴메 모리 ( 차세대 ) 반도체를앞세워약 30 년만에메모리시장에진출 CPU 에서탈피해클라우드, 사물인터넷시대에맞춰전략을바꿔재탄생하는것으로해석가능. 중국이메모리분야를노리고있는가운데미국시장과의경쟁도피할수없는실정. 크로스포인트는낸드플래시메모리보다 1000 배빠르고 D 램보다 10 배더많은용량을갖출 수있는기술로서, SSD 를필요로하는곳은크로스포인트로잡겠다는전략 메모리를다시시작한것도 성장의선순환 구조속에서다른칩과시너지를내기위함. 크룩부사장은 클라우드시대엔서버와기기사이에수많은데이터가오가는만큼빠른속도가필요 하고현재큰용량의메모리를필요로하는시장이커지고있어이런메모리를직접생산하기로했다 고말함 삼성전자 2017 년평택공장가동으로 3D 낸드생산량확대 세계최대규모의최첨단반도체라인이 2017 년상반기중평택에서가동될예정, 전체 120 만평, 산업용지 86 만평가운데 30 만평을활용해인프라시설및첨단반도체라인 1 기를건 설할계획 3D 낸드는기존 2차원낸드에비해성능뿐아니라고용량메모리의생산단가를크게낮출수있으며, 반도체소자를입체적으로쌓아올려집적도를향상시킬수있는방식임. 평택공장에서 3D낸드가주로생산될것으로전망되며, 메모리에대한수요중 SSD가가장확연하게증가하고있기때문에 SSD 가격대를낮추기위해 3D낸드탑재에총력을기울일예정 3D 낸드플래시는적층수를높여야그만큼원가절감과함께수익률을높일수있는가운데 삼성전자는 2013 년 8 월세계최초로 32 단 3D 낸드를양산하였고 2015 년 4 분기부터경쟁 업체중유일하게 48 단고도화에성공하면서원가경쟁력을높임. 해외반도체업체들의자율주행신기술선도 엔비디아는 GPU( 그래픽처리장치 ) 기반의자율주행용시스템반도체를테슬라에공급하고있는업체로과거 PC용그래픽카드를공급하던 GPU 기술을확장시켜차량에 10여개의카메라를부착, 주변환경을파악하는방식으로자율주행을구현중. 자율주행차를선보이기위해메르세데스벤츠, 아우디외에협력관계를맺고있으며, GPU를두뇌로삼아딥러닝 12

21 전략분야현황분석 과 AI( 인공지능 ), VR( 가상현실 ), 게이밍등다양한분야로사업영역을확대계획 세계 1위반도체기업인인텔은자율주행차를위한개발플랫폼고 (Go) 를공개한가운데 ' 고 ' 의핵심은자사플랫폼간원활한통신을위한자율주행차용 5G( 세대 ) 기술로임. 글로벌완성차업체인 BMW, 자율주행센서전문기업인모빌아이와파트너를맺고 2017년 40여대의자율주행차를실제도로에서시범운행한다는계획도밝힌가운데고해상도지도기반자율주행시스템연구개발에도나설계획 스마트폰에탑재되는애플리케이션프로세서 (AP) 분야전문업체인퀄컴은 2016년차량용반도체시장의세계 1위업체인 NXP반도체를인수하기로합의한바, IoT 분야와자율주행자동차분야에본격진출 필립스의반도체부문에서분사한 NXP반도체는자동차보안분야의반도체를주로생산하고있으며, 자동차의에어백과인포테인먼트시스템을비롯해 ID카드, 교통카드, 스마트폰결제시스템등에들어가는칩등을주력으로양산 삼성전자차량반도체진출본격화 2016년 11월미국인포테인먼트와카오디오등의전장부품사업기업인하만의인수를발표하며, 전장사업진출본격화 하만은종업원 3만명, 2015년기준매출 70억달러, 영업이익 7억달러인기업으로, 프리미엄인포테인먼트 ( 오디오, 네비게이션등 ) 시장에서점유율 1위 (24%), 텔레매틱스시장에서 2위 (10%) 를차지하는등커넥티드카용인포테인먼트, 텔레매틱스, 보안등의전장산업분야에서글로벌선두기업 자체차량용오디오브랜드인 JBL, 하만카돈 (Harman Kardon), 크라운오디오, dbx, 마크레빈슨 (Mark Levinson), AKG 등을보유하며, 메르세데스벤츠, 아우디, BMW, 도요타등글로벌완성차업체에부품공급중 미국전기자동차업체테슬라에차량용시스템반도체를공급하며마이크론등이선두하고있는차량용반도체시장진출가속화 2016년 12월테슬라와주문형반도체 (ASIC) 파운드리계약을맺은가운데테슬라에공급하기로한제품은자율주행차핵심시스템온칩 (SoC) 으로설계단계부터시제품생산, 양산까지 3년안팎이걸릴것으로예상 13

22 반도체 [ 핵심플레이어분석종합 ] 구분반도체재료 부품반도체소자 주요내용포토레지스트 CMP 슬러리전력반도체소자 LED 소자 주요제품 / 기술 이머전 ArF 포토레지스트, EUV 포토레지스트 STI CMP 슬러리, 텅스텐 CMP 슬러리, 구리 CMP 슬러리 SiC SBD, SiC MOSFET, GaN SBD, GaN MOSFET, 고전압 MOSFET, 고전압패키지 가시광 (RGB) LED, 적외선 (IR) LED, 자외선 (UV) LED, 백색 LED 소자, 고출력 LED, 고효율 LED, COB 패키지, SMD 패키지, 고방열패키지 해외기업 Fuji Film TOK JSR Sumitomo DOW corning Shinetsu AZEM Cabot, Fujimi, JSR, Sumitomo, EKC, DOW chemical, Hitachi Rodel, EKC, Sumitomo, Hitachi, Showadenki, Nitta Mitsui Infineon, Rhom, Cree, SemiSouth, STMicro, JRC, EPC, Transphorm, GaN Systems Toyoda gosei, Epistar, Everlight Cree LED, Osram, Rubicon, Philips, Lumileds, Philips Solid State Lighting 국내기업 금호석유화학, 동진세미켐, 이엔에프테크놀러지, 테크노세미켐 제일모직, 케이씨텍, 솔브레인, 동진세미켐 메이플세미컨덕터 나노팩, 삼성전자, LG 이노텍, 서울반도체, 서울옵토디바이스, 루멘스, 일진머터리얼즈, 중소기업참여정도 중소기업시장점유정도 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 14

23 전략분야현황분석 4. 시장동향및전망 가. 세계시장 세계반도체시장성장세로전환전망 가트너 (Gartner) 에따르면, 2015년세계반도체시장규모는주요전자장비수요감소와일부지역달러화강세, 재고량증가로전년대비 2.3% 하락한 3,348억달러 세계반도체시장규모중메모리반도체는 793억달러 (D램 446억달러, 낸드플래시 308억달러, 노어플래시 19억달러외 ) 로총반도체시장의 24% 수준을차지 그러나, 이후클라우드와빅데이터, 사물인터넷의확산으로서버에들어가는저장장치용량이점차급증하면서낸드플래시수요가확대되고 CMOS 이미지센서의기술이크게향상되고디지털촬영기기가소형화됨에따라, 크기가작고전력소모가적은 CMOS 이미지센서의활용범위가점차확대되고있는바, 우호적인시장여건으로 2019년까지 3,783억달러로증가세지속할것으로전망 [ 세계반도체시장규모및전망 ] ( 단위 : 억달러, %) 구분 CAGR ('13~'15) 세계시장 3,155 3,427 3,348 3,452 3,559 3,669 3, * 출처 : Gartner , '13-'15년연평균성장률자료를참고하여 16-19년전망치추정 SEMI( 국제반도체장비재료협회 ) 에따르면, 반도체재료도환율영향 ( 엔화하락 ) 및반도체출하량감소로 2015년전년대비 1.5% 감소한 434억달러를기록하였으나이후메모리반도체중심으로한반도체업황개선으로 2019년까지연간 2.9% 의평균성장률을기록하며성장세유지전망 지역별로대만이 2015 년 94 억달러를기록하며전년대비 2% 의마이너스성장을보였음에 도파운드리와첨단패키지거점을바탕으로 6 년연속세계최대반도체재료소비국가가됨. 향후에도대형파운드리시장지위를기반으로세계 1 위반도체재료소비국가유지전망 삼성전자, SK 하이닉스의현지공장투자로단기적으로북미와중국의반도체재료시장 성장전망 15

24 반도체 [ 세계반도체재료시장규모및전망 ] ( 단위 : 억달러, %) 구분 CAGR ('13~'15) 세계시장 * 출처 : SEMI, '13-'15년연평균성장률자료를참고하여 16-19년전망치추정 단위 : 억달러 출처 : SEMI [ 세계반도체재료시장 ] 중국글로벌반도체생산기지로부상 최근글로벌반도체기업들이중국으로생산기지거점을마련하고있는가운데국제반도체장비재료협회 (SEMI) 에따르면, 2016년과 2017년 19곳의신규팹과반도체생산라인중 10곳이중국에건설된다고발표 팹장비투자규모는 3D 낸드플래시와 10 나노공정및파운드리분야의장비투자는 2016 년 360 억달러로 1.5 % 높아지고 2017 년은 407 억달러로 13 % 성장할것으로전망 세계 2 위반도체파운드리인글로벌파운드리 (GF) 는 2016 년중국충칭시와합작으로 300 mm 웨이퍼생산공장을건설하기로하였고, TSMC 도중국난징에첫 300 mm 웨이퍼공 장을짓는다고발표 반도체기업들이앞장서중국현지에신규공장을설립하게된배경은 2013 년이후반도체 가중국의 1 위수입품으로자리잡은바, 중국현지고객확보를위한목적 16

25 전략분야현황분석 [ 년지역별신규팹과반도체라인건설현황 ] 지역 2016 년 2017 년 미국 1 Analog 1 Foundry 중국 1 Memory 1 MEMS(200 mm) 1 Foundry 1 LED(100 mm) 1 Memory 1 MEMS(200 mm) 3 Foundry 1 Power(200 mm) 유럽 & 중동 1 Power - 일본 - 1 Memory 한국 1 Memory - 동남아시아 1 LED(150 mm) 1 Analog(200 mm) 대만 1 Foundry 1 LED(50 mm) 300 mm 기준잠재생산량 (LED 포함 ) 210,000 wspm 330,000 wspm * 출처 : SEMI 파운드리시장지속성장 사물인터넷 (IoT) 등으로필요한반도체종류가다양해지면서파운드리시장은반도체업계에서가장성장성이높은시장임 년 214 억달러규모였던파운드리시장은 2015 년 494 억달러수준으로성장했고, 2015 년세계반도체시장규모가 2.3% 감소하는동안에도파운드리시장만은 4.4% 확대 파운드리업체는스마트폰, 웨어러블, IoT 디바이스등주요부품을위한칩이성능은높아지 고크기는작아지는숙제를해결하기위해더미세화된공정을선호함에따라순수파운드리 시장에서 40 나노미만미세공정비중이커지고있는추세 ( 단위 : 억달러 ) * 출처 : SEMI [ 세계파운드리시장규모 ] 17

26 반도체 나. 국내시장 국내반도체시장은성장세유지 2015년국내반도체산업총생산규모는 74조 6,361억원으로전년대비 0.2% 성장하는데그친가운데이는세계 PC 시장침체와스마트폰성장률둔화기조속에삼성전자, SK하이닉스, 마이크론등주요업체의미세공정전환경쟁에따른 D램단가하락심화에기인 반도체수출은 2015년 62조 9,160억원으로전년대비 0.4% 증가하는데그친반면에수입 (38조 2,780억원 ) 은전년대비 5.0% 증가하였으나반도체수출와수입규모의현격한차이로 2015년전년에이어무역수지는흑자기조유지 반도체생산및수출입현황자료를이용하여산출한 2015년국내반도체산업 ( 생산 + 수입-수출 ) 는 49조 9,981억원으로전년대비 3.6% 증가하였고, 반도체산업규모는계속증가하고있으며, 연평균 ( ) 3.2% 증가한것으로나타남 한편, 반도체수입은국내에서생산하지못하는마이크로프로세서, 전력반도체등시스템반도체와국내조립업계에서수입하는패키지용웨이퍼가공반제품이대부분을차지 스마트폰신제품출시및중국의중저가스마트폰의고사양화추세로메모리탑재용량증가, 데이터센터및 PC에서사용되는저장장치가 HDD에서 SSD로의전환가속화에따른낸드플래시수요증가로반도체시장성장세지속전망 국내반도체시장규모 2015년 3.6% 성장 2016년글로벌메모리반도체업체들은 3D NAND 투자에집중한가운데국내는 2016년상반기삼성전자의 3D NAND 양산을시작으로 SK하이닉스도 2016년 4분기부터 3D NAND 양산을시작한바, 2017년 3D NAND에대한반도체업체들의투자가속화될전망이며, 이에따른장비및재료업체들의수주도늘어날것으로예상 [ 국내반도체시장규모및전망 ] ( 단위 : 백억원, %) 구분 CAGR ('13~'15) 국내시장 4,693 4,825 4,999 5,159 5,324 5,494 5, * 주 : 시장규모는생산 + 수입 - 수출 * 출처 : 미래창조과학부, 한국정보통신진흥협회, 한국전자정보통신산업진흥회연도별자료를참고하여 년전망 치추정 18

27 전략분야현황분석 국내, 세계시스템반도체시장점유율 5% 미만 시장조사업체 IHS에따르면 2015년세계반도체시장규모는 3473억달러인가운데메모리반도체가차지하는비중은 23% 인 807억달러임. 발광다이오드 (LED) 등광전자소자, 센서 모스펫등개별 (Discrete) 제품군을제외한아날로그, 로직 마이크로컴포넌트같은시스템반도체시장규모는 2050억달러로 59% 비중을나타내는등메모리보다시스템반도체시장규모가두배이상큼. 한국은메모리분야에서세계시장점유율이 57.7% 에이르고있으나더큰시장인시스템 반도체분야에선경쟁력이미미함. 한국반도체산업은미국, 일본, 대만과비교해메모리 쪽으로매출구조가심하게편중된구조를보이고있음. 2014년국가별시스템반도체생산규모및점유율은미국이 1,510억달러 (66.5%) 로압도적인 1위이며한국은 108억달러 (4.8%) 로 5위를차지 특히, 팹리스시장에서의대만 / 중국의성장이가파르게이어지며중화권국가의점유율은 14년 26.4% 에달하며, 한국은 1.5% 의점유율로시스템반도체점유율보다도열위에있음 삼성전자, LG전자가세계휴대폰시장을주도하면서모바일 AP와모뎀, RFIC, PMIC 등일부시스템반도체의국산화에성공하였으나프로세서, 자동차반도체등핵심품목은여전히대부분수입에의존 국내팹리스들의주력품목은 DDI(Display Driver IC), CIS(CMOS Image Sensor), PMIC, 모바일멀티미디어 IC 등소수에불과 상대적으로진입장벽이낮은제품으로성장한국내팹리스들은미세공정의도입에따른투자규모증대, 중국팹리스의급속한성장과낮은가격을앞세운공세등으로어려움을겪고있음 한국의반도체산업이대기업 IDM위주로메모리산업에집중되면서, 현대자동차, 삼성전자등글로벌경쟁력을갖춘수요업체가있음에도불구하고수요기업-팹리스-파운드리간유기적인협력이취약 국내파운드리설계자산 (IP) 및지원공정의다양성부족등으로, 팹리스기업은대만등해외파운드리서비스에의존하여경쟁력약화및제작비용상승요인으로작용 19

28 반도체 5. 기술동향및이슈 전력반도체회로설계분야 나노스케일로의 CMOS 집적화기술이심화되면서, 반도체소자및제작기술에따른온도와공정의변화에매우민감한아날로그회로설계중요성대두 이득, 누설전류, 잡음등의파라메터의최적화가중요하며, 배터리구동의장시간동작과경량화를실현하기위해 IC의저전압저전력화의요구가높아지고있음. 배터리로동작하는기기뿐만이아니라, IC 전반에걸쳐서고속화와저전압화가중요 저전압, 저전력동작전자기기의대표적인휴대 AV기기, 전자수첩, 전화기, 휴대전화, 각종모바일무선장치, 배터리백업장치등의동작전원크기변화로인하여, 보다저전압, 저전력화가기술개발의핵심 아날로그 - 디지털간의신호변환기 (ADC) 기술확보를위한연구개발활발 자연계에존재하는아날로그신호를잡음에둔감하고신호처리가용이한디지털신호로변환 요구되는동작속도및해상도에따라플래시 (flash), 연속근사 (SAR) 구조등이있음 구동 IC 회로는해당전력소자에맞게설계가되어야하며게이트구동 IC 의최적화에따라전력소 자의좋은특성획득가능 차세대전력소자용게이트구동IC 개발에맞춘새로운게이트구동IC가요구 900V/1200V/1700V 등고전압구현이필요한소자들의구동은종래기술인 Level Shifting 방식으로는구현하기어려우므로, 해외선두업체들인인피니언, 페어차일드 ( 온세미 ) STMicro, 아날로그디바이스, 아바고등해외업체의솔루션과같은방식을활용하여 Galvarnic Isolation 방식의고내압 Isolation 기반신규게이트구동IC 개발이진행 게이트구동IC가최적화될경우가장좋은특성을얻을수있으므로구동 IC 최적화기술은파워소자의상용화진입장벽을낮출수있는핵심기술 대다수선도파워반도체공급업체는자체게이트구동IC 솔루션을보유하고있어단품, SiP, 모듈등에서최적화된회로를구현하여제공중 20

29 전략분야현황분석 [ 파워회로에서의파워소자및게이트구동 IC 의기본구성도 ] 전력반도체소자제작분야 전력반도체선진국들은소자제작관련연구개발및산업화기반시설에적극적으로투자하고있음 해외선진국은차세대전력신소재기반전력반도체소자기술에집중하는개발방향을설정하고전력을다하고투자를증가시키고있음. 미국의경우, NY-PEMC은 6인치 SiC 팹, 장비구축과함께 Baseline Process를제공하여, 대기업, 중소기업의차세대전력반도체소자및시스템산업 Eco-system을구축하고자하고있음. 일본은 20년 SiC 소자상용화를목표로 TPEC를주축으로한기반시설을운용하며, TPEC 설립 ( 12.1.) 하고차세대 WBG고에너지갭전력반도체반도체분야에 22.7억엔 /5년투자 유럽의경우 LAST-POWER와 Striking Technology for Power 프로그램에서 10년부터차세대 WBG 전력반도체분야에약 7M /5년투자 전력변환및분배시스템의핵심부품인 IGBT와 MOSFET은전자기기부품대다수의영역에걸쳐적용되고있음 용접기, 무정전전원장치에서부터가정용소형기기, 중형인버터를포함한전기자동차, 고속철및송배전등에적용가능한대용량인버터등에적용 전력 MOSFET의경우는고속응용회로와전력변환의핵심소자로사용 시스템전체의효율을높이기위해 MOSFET 구조에서중요한인자인 on-저항을줄이는기술개발이활발하게진행중 200V급 MOSFET의특성개선을위해서는저항부분이가장큰 Channel 영역과에피영역의저항에대한연구가필요 21

30 반도체 600V 급이상의 MOSFET 의 on- 저항에큰영향을끼치는에피영역의저항을최소화하는방향에 대한연구가필요 저항을줄이기위한트랜치게이트구조및차세대전력신소재기반소자기술개발이진행 차세대물질기반웨이퍼는기존실리콘웨이퍼에비해고전압, 대전류에강하고열전도특성도뛰어나전력량을줄일수있으므로 '20년이후송전망, 자동차, 지하철, 가전등에서사용될전망 대구경화를통한가격경쟁력을확보하여차세대물질기반웨이퍼가웨이퍼시장의주류로자리잡아야함 SiC 전력반도체는 Si기반의소자대비전력변환손실이적고, 재료물성이우수해산업기기, 태양전지, 전기차, 철도등파워일렉트로닉스분야에서 SiC 디바이스 / 모듈의실용화가요구 SiC 기반소자산업의경우, Si 소자에비해물성이우수한반면 2세대또는 3세대이전의 Si 공정장비를사용할수있어투자대비효과가우수 차세대 SiC 웨이퍼는기존실리콘웨이퍼에비해대전류, 고전압에강하고발열특성도뛰어나전력량을줄일수있으므로 '20년이후가전, 자동차, 지하철, 송전망등의사용이널리확장될것으로예상 SiC는 FET, LED, 압력센서, HBT, SBD 등의응용이연구되고있으며, 다이오드를중심으로상용화가시작되어 MOSFET 제품이시장에나오고있음 가격대비성능관점에서 6인치 SiC 기판을이용한공정개발이진행되고있으며저항을줄이기위해트랜치게이트구조의설계기술과소재 / 공정 / 소자특성연계최적화기술등의연구개발이진행중 GaN 반도체는고속스위칭소자로써 200V급이하의 IT/Consumer 시스템에주로적용되거나, 650V급신재생에너지 (PV, ESS, FC등 ) 산업등에적용가능 해외에서는 EPC, GaN Systems, Transphorm, Panasonic, TSMC등선두기관에서는모두 6인치 CMOS 호환공정울기반으로고속ž저손실 GaN 파워반도체소자를 GaN-on-Si기판상에구현 이러한상용화기술은 GaN-on-Si 웨이퍼기반수평구조 (lateral) 소자로서 반도체개발은연구초기단계임 수직구조의 GaN 전력 전력반도체모듈 / 패키징분야 최근신재생에너지, Low Battery Driven Vehicle, 에너지저장분야 (ESS) 및 EV/HEV 자동차부분에고효율및고신뢰성의모듈적용이늘어나고있는중 모듈 / 패키징을구현하기위한 Material의개선, 공정의개선, Solder layer의삭제, 전기저항및열적저항을줄이는기술등을중심으로개발이이루어짐 22

31 전략분야현황분석 모듈제품가운데 Industrial Motor Drive영역이 50% 에근접하므로모듈제품개발시에주 Target Application으로서우선적으로고려필요 국내진출해외모듈업체 (Infineon, Mitsubishi, Semikron, Vincotech, Fuji등 ) 의 Agent및자동화부문을가지고있는대기업과 UPS(LS산전, 효성, 현대중공업 ), Welder를제작하는중소기업등의연간사용모듈이주시장을형성 [ 모듈의구조 ] 화합물반도체모듈및 Multi Level 모듈 SiC 및 GaN을적용한모듈들이개발되어지고있고, 기존의 2-Level 방식이아닌 3-Level용모듈들이개발되어지고있으며, Reverse Blocking Module도고객의요청에의해개발이되고있는상황임 우수한고온, 고내전압특성의 Wide Band Gap 소자인 SiC, GaN chip의특성을극대화하고, 기존 Pb Free solder가가지고있는신뢰성부분을개선하고자 Soldering 관련다양한연구가이루어지고있음 Chip의전기적연결기술은전기적저항을최소화하고, 기존 Al Wire의피로수명개선및이전기적연결을통한방열효과극대화할수있는다양한재료및공정개발 Mitsubishi의경우, Gate 및 protection을위한선연결은기존 Al Wire를사용하였지만, Emitter Side의전기적연결은 Solder를이용한 Cu Lead Frame을사용하는등의개선을시도 Terminal Interconnection의경우 Mecahnical/Thermal stress에대한취약점개선이관건이며, 모듈 process에서의 Soldering 공정의최소화를위한기존 Soldering 대신 Ultra sonic welding 방식을적용하는개발이이루어짐 Chip-DBC 및 Base Plate간연결의경우, 이 Ag Sintering이기술과일종의 Diffusion soldering 의일종인 TLPS(Transient Liquid Phase Bonding) 기술이개발, 상용화 23

32 반도체 DBC 절연물질개선및 Embedded 구조 열팽창계수를 Matching 시키면서, 열적 / 전기적저항을줄일수있는다양한소재들이하기개발되고있으며, 기존모듈과같이단일부품으로서의모듈이아닌 Application-Fit 혹은 System-Fit 의중간단계의 Embedded 구조를갖는구조에대한연구개발이진행 차세대메모리개발관심증대 기존의 DRAN 업계에서경쟁력우위결정요소인공정미세화경쟁으로는향후제품차별화가한계에봉착할가능성거론 시장환경변화에적응하기위한신경쟁력활보수단으로서차세대메모리개발에관심 IT 기기의고성능, 소형화제품의수요가증가하는추세에맞춰저전력사용과빠른처리 속도등의특성이요구됨 삼성전자와 SK 하이닉스는각각미국의 IBM, 일본도시바등과손잡고연구 개발 (R&D) 에 나섰고, 인텔과마이크론은이미낸드플래시보다데이터를 1000 배이상빨리처리할수있 는기술을공개하고상용화를추진 사물인터넷 (IoT) 관련반도체시장성장 사물인터넷은주변사물에인터넷을매개로연결하여데이터를주고받으며수집한정보를분 석하고그결과를바탕으로다양한예측및활용하는분야를의미 인터넷에연결된기기들의정보를수집, 처리, 전송하기위해서는다양한비메모리반도체요 구가되면서센서류및주문형 IoT 반도체분야가신성장동력으로등장 기존스마트폰, PC 등의 IT 시장성장정체로시스템반도체업체들은미래성장성이높은 IoT 시장선점하기위해경쟁중 세계 1 위반도체업체인인텔은자체개발한시스템반도체모듈인큐리 (Curie) 를 2016 년 1 분기 출시한가운데큐리는탑재가능한기기의범위를한정하지않는개방형칩셋으로손톱만한크기에정보처리장치, 메모리, 통신칩등을통합하여낮은전력으로도가속도및움직임을읽을수있는 6 축콤보센서를내장하여운동량, 걸음수, 이동거리등을측정가능 미국전자상거래업체인아마존은자회사인안나푸르나를통해홈네트워크로사용되는알파인 (Alpine) 칩개발을진행중으로이칩은와이파이라우터, 미디어스트리밍기기, 소형사물인터넷기기등을설계하는고객을목표 퀄컴은특정사물인터넷분야에적용할수있는제품을생산하는데주력하고있는가운데드론용칩셋인스냅드래곤플라이트 (Snapdragon Flight) 는스마트폰으로조정이가능하여 GPS, 4K 영상녹화, 무선랜및블루투스등의기능을내장하고있고, 스냅드래곤 820A 는차량용칩셋으로 LTE, 무선랜, 블루투스등무선연결기능과중앙처리장치 (CPU), 그래픽처리장치 (GPU), 디지털신호처리장치 (DSP) 기능외에네비게이션, 차대차통신기능도지원 24

33 전략분야현황분석 삼성전자는사물인터넷개방형칩셋아틱 (Artik) 과헬스케어 / 의료용칩셋인바이오프로세서를출시. 아틱은초소형저전력기기용 아틱 1 부터중형카메라및스마트워치용도의 아틱 5, 고성능대형복합기용 아틱 10 으로구분되어있고, 바이오프로세서는헬스케어에특화되어체지방 / 골격근량 (BIA), 심박수, 심전도측정, 피부온도, 스트레스반응등모바일헬스케어를위해대표적인 5 가지센서기능을내장하고있고이와더불어측정정보를분석하여새로운정보를제공 25

34 반도체 6. 중소기업시장대응전략 Factor 기회요인위협요인 정책 산업 시스템반도체및반도체장비, 재료산업집중육성정책발표하고추진 정부는글로벌국내대기업과미래반도체소자관련원천기술개발에투자협력강화 사회적편리성, 안전, 효율성욕구증가에기인한모바일인터넷, 빅데이터, 사물인터넷등 ICT 융합산업발전 국가나노인프라시설을활용한반도체재료산업의제품개발효율화추진 시스템반도체인력양성및원천기술개발과제에대한지원 (R&D) 이선진국들에비해많이부족한수준 시스템반도체사업이분야 ( 반도체, SW) 에따라미래부와산업부로이원화되어부처간협력비효율적 국내메모리반도체사업집중으로현대자동차, 삼성전자등글로벌수요업체가있음에도불구하고수요기업과팹리스, 파운드리간유기적협력취약 대기업과중소기업간의기술격차및개발의지양극화현상심화 시장 사물인터넷기술발전에따른반도체산업및재료산업시장규모증가세 전력반도체, 차량반도체부문국내외시장규모의성장세 시스템반도체및반도체재료분야에서미국, 일본, EU 선진국의세계시장주도 중국팹리스의급속한성장과낮은가격을앞세운공세 기술 차세대 SiC 전력반도체기술개발증가추세 차량용반도체와사물인터넷 (IoT) 등경량형 SoC 분야의기술및시장주도권확보를위한기술개발추진 첨단반도체산업및장비ㆍ재료산업부문의높은기술개발진입장벽 반도체설계관련전문인력수가적고, 우수인재의해외유출증가 원천기술개발 신제품개발 제품개발효율화 시장규모확대인프라활용고급인력양성 중소기업의시장대응전략 시스템반도체분야에서 Fast Follower가되기위해창의적기술개발과아이디어로철저한시장분석을토대로대응할수있는구체적목표수립 ( 사물인터넷, 웨어러블, 항공, 자동차등신산업분야에대한선제적투자필요 ) 시스템반도체원천기술및기반기술관련장기적확보정책강화와반도체설계핵심인력의양적, 질적확보필요 정부, 대기업및대학 연구소의상호보완적협력체계구축및역할분담 26

35 전략분야현황분석 7. 중소기업전략제품 가. 중소기업기술수요 중소기업, 대기업, 공기업등에대하여설문조사및방문조사를통하여기술수요조사를실시 조사결과광학설계기술, 반도체패키지기술, 핵심센서칩제조기술, 회로설계, 특수소재의원재료개발, 웨이퍼크기의정밀한고분자필름 cutting기술, 고집적반도체구현기술등의수요가있는것으로조사 중소기업청 R&D지원사업에신청한과제를반도체산업을반도체주요품목별로분석한결과 SoC, 반도체장비용핵심부품및제조장비, 측정 / 검사장비, 기타반도체장비, 기타반도체소자, Si 소자순으로중소기업이기술개발에관심을보이는것으로분석 주요품목별기술개발과제가증가하는추세를살펴보면노광트랙장비의증가율이가장높게나타났으며, 센서용소자, 기타반도체소자, 반도체재료순으로기술개발이증가하는것으로나타남 주요반도체분야별신청과제에대한내용을분석하여각분야별로중소기업이관심을갖는제품을파악 반도체소자및시스템분야에서는플립칩패키지용범프표면처리공정, CMOS 기반 (MEMS) 의마이크로어레이 (microarray) 바이오센서, IoT 기반의헬스케어를위한웨어러블산화물반도체디바이스및측정플랫폼, 전력반도체테스트모듈등과관련된기술개발에대한수요가높은것으로나타남 반도체장비분야에서는차세대기술융복합형 SSD 테스트장비, 차세대포토마스크및포토레지스터 master, 친환경고효율열처리기술을기반한 Heat Exchanger 장치, 플렉서블디바이스응용을위한고효율플라즈마원자층증착기 (Plasma-Enhanced Atomic Layer Deposition), 반도체 / 디스플레이공정용플라즈마화학반응계수최적화프로그램, 플라즈마처리장치의트레이 (Tray) 의개량및개선, 적층형반도체패키지용보이드제거및플라즈마세정일괄공정시스템개발등과관련한수요가높은것으로나타남 27

36 반도체 [ 중소기업청 R&D 지원사업신청과제현황 ] 주요품목 과제건수 합계 점유율 (%) 평균증가율 (%) MEMS 소자 센서용소자 반도체소자및시스템 Si소자 SoC 기타반도체소자 반도체재료 설계 Tool 화합물소자 반도체 기타반도체장비 노광트랙장비 반도체장비용핵심부품및제조장비 세정장비 반도체장비 에칭장비 열처리장비 이온주입장비 증착장비 측정 / 검사장비 패키징장비 폴리싱 (CMP) 장비 합계 ,

37 전략분야현황분석 나. 중소기업전략제품 [ 반도체분야전략제품 ] 전략제품 개요 ALD 전구체 기질표면에서의화학적흡착과탈착과정을이용하여단원자층의두께제어가가 능한새로운개념의증착방법으로낮은온도에서단결정으로박막을성장시키며, 원자층제어가가능하다는특장점을보유한제품 CMP 슬러리 Chemical Mechanical Polishing Slurry의약자로웨이퍼표면을매끄럽게연마하는연마제로반도체의미세패턴및적층회로를구성할때웨이퍼표면에불필요하게형성된박막을평탄화시키는데사용하는제품. 반도체나노화에따라공정에서필수적인제품 포토레지스트 차세대약품소재로전공정과정에서필요하며, 노광에의한광화학적반응을이 용하여포토마스크의미세패턴을반도체웨이퍼상에형상화할수있는감광성화 학약품을지칭 TSV 용패키징소재 TSV (Through Silicon Via) 는반도체칩을적층시칩들을웨이퍼에수직으로 Via Hole 을형성하여칩간의전기적신호를전달하는패키지방식 반도체 전력반도체소자 일반적으로전원장치 (Power device) 라고도불리는전력장치용의반도체소자로, 전력을시스템에맞게배분하는제어와변환기능을가지고일반적인반도체소자에비해서고내압화, 큰전류화, 고주파수화된것이특징인반도체소자로초코랄스키성장 SiC, GaN 등의차세대반도체소자를포함 자동차 SoC 부품 자동차시스템통제를위해사용되는반도체부품을하나의칩으로구성하여자동 차에적용한부품으로 GPS, ESC, EPS, 오디오등자동차운행및내부전자기기를 사용하기위한 SoC 부품 실리콘이미지센서 사람이눈으로볼수있는광경그대로를기계에서볼수있도록실리콘반도체를광검출부에사용한촬상장치로다수의광센서와각센서의수광량을나타내는신호를전송하는부분으로구성됨 LED 소자 반도체로빛을발생시키는소자로발광다이오드라고하며, 반도체소자의특성을이용하여전기에너지를빛에너지로전환시키는형태의반도체소자로발광효율이높고사용수명이긴 GaN( 질화갈륨 ), 청백색 LED 소자에사용되는초코랄스키성장사파이어등을포함 29

38

39 ALD 전구체 전략제품현황분석

40

41 ALD 전구체 정의및범위 ALD 전구체는반도체소재로사용되는유기금속화합물로원자기상증착 (ALD) 에사용되는전구체이며, 기질표면에서의화학적흡착과탈착과정을이용하여단원자층의두께제어가가능한새로운개념의증착방법으로낮은온도에서단결정으로박막을성장시키며, 원자층제어가가능하다는특장점을보유한제품 정부지원정책 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 국내ㆍ외수요기업과의연계강화를통해국제경쟁력을갖춘장비ㆍ재료선도기업육성에역점을두어진행 원천기술확보및국산장비ㆍ재료의신뢰성향상을위한 반도체장비원천기술상용화개발사업, 성능평가협력사업, 수급기업투자펀드사업 등의상생협력프로그램을통해육성 중소기업시장대응전략 강점 (Strength) 전구체소재의평가와피드백을위한반도체산업환경 ( 수요업체존재 ) 국내에조성된전방위적인건전한반도체산업생태계기회 (Opportunity) 소재산업에대한정부 / 산업체의투자 정부의정책적지원 소재산업에대한국산화요청 약점 (Weakness) 소재합성을위한원천기술의부족 기존시장에서의경쟁력미약 기술개발을위한산학연네트워크기반부족위협 (Threat) 연구개발에필요한높은개발비용 해외선발주자들에의한높은진입장벽 중소기업의시장대응전략 소재개발부터체계적인접근 (DB) 구축필요 중장기적로드맵을가지고전구체설계기술능력함양 소재기술개발을위한정부, 대기업, 대학 / 연구소와협력

42 핵심기술로드맵

43 전략제품현황분석 1. 개요 가. 정의및필요성 ALD(Atomic Layer Deposition) 전구체는반도체소재로사용되는유기금속화합물로원자기상증착 (ALD) 에사용되는전구체이며, 기질표면에서의화학적흡착과탈착과정을이용하여단원자층의두께제어가가능한새로운개념의증착방법으로낮은온도에서단결정으로박막을성장시키며, 원자층제어가가능하다는특장점을보유한제품 ALD(Atomic Layer Deposition) 는원자층증착법으로 ALD 금속이포함된원료와반응가스 를교차하여주입함으로써박막을성장시키는프로세스 ALD 의기본원리는 AX 와 BY 라는기체형태의물질을원료로이용하여 AB 라는고체물질 로된박막을증착하고부산물로기체형태의 XY 를생성하는경우를예로들어제조순 서에따른 ALD 공정은다음과같음. 가 ) AX 나 ) BY AB 다 ) XY 라 ) 마 ) [ALD 공정단계 ] 가 ) AX 물질을공급한다. 기판표면에흡착되고남는여분의 AX 가있다. 35

44 ALD 전구체 나 ) 여분의 AX를제거한다. 다 ) BY 물질을공급한다. 반응하고남은여분의 BY와부산물 XY가있다. 라 ) 여분의 BY와부산물 XY를제거한다. 마 ) AX 물질을공급한다. 반응하고남은것들이주위에있다. 이상과같이 AX 공급, 여분제거, BY 주입, 여분제거공정각각을일정주기로되풀이하여 원자층을한층씩쌓아서원하는두께와조성의박막을제조하게되며, 여분의가스를제거하는 데는주로아르곤 (Ar) 등의불활성가스를흘려주는방법이이용 ALD는증착과정에서원료공급단계에서원료의공급이충분하다면박막의성장속도는원료공급주기의횟수에만비례하기때문에박막의두께를 Å( 옹스트롬, Angstrom, 1Å=1nm) 단위로정밀하게제어할수있어다음과같은장점을지님 단차피복성 (step coverage, 높이차이가나는부분들을균일하게증착하는특성 ) 이우수하여복잡한 3차원구조도균일하게증착가능 박막의두께와조성을정밀하게조정가능 불순물이적고핀홀등의결함이없는양질의박막제조가능 대면적을균일한속도로증착할수있어지름 300mm 웨이퍼에적용가능 지금까지반도체소자제조에물리기상증착법인 PVD(Physical Vapor Deposition) 또는화학 기상증착법인 CVD(Chemical Vapor Desposition) 기술이이용되었으나이러한기술은선폭 90nm( 나노미터 ) 이하의초고집적소자제조에적용하는데한계가발생 원자증착인 ALD 의경우복잡한형상의 3 차원구조에서도뛰어난균일도를가지는나노두께 의박막증착이가능하기때문에나노급반도체소자제조에필수적인증착기술 또한플라스틱기반을가지고있는기판에대해우수한도포성과낮은공정온도를가지고있어 Flexible Display 에실용화가능성을높이는데필요 다만, ALD 박막제조기술의경우, 공정시간이길어양산성이낮다는기술적단점이있어이를 극복하기위한연속생산 ALD 기술이최근연구중 최근반도체초미세화로증착두께가얇아지고있어 ALD 공정적용단계가많아짐에따라 ALD 전구체에대한기술적중요도가지속적으로확대 ALD 공정은원자층증착기법으로박막내불순물의양을줄일수있지만, 사용되는선구물이 36

45 전략제품현황분석 유기화합물로구성되어있기때문에완벽하게오염을줄이기는어려움. 이러한박막에오염을최소화하기위해우수한특성의전구체를선택하는것이중요 ALD전구체의이상적인조건은다음과같음 높은증기압 ( 반응증착실내부로용이한원료전달 ) 고순도화가용이할것 증착온도내에서열분해가일어나지않을것 넓은온도영역에서자기제한적특성유지 우수한박막조성및순도 ( 탄소나산소등의오염을배제 ) 액체화합물 반응가스와의원활한반응성 반응성이없는부산물의생성과제거가용이할것 단차피복성확보 37

46 ALD 전구체 나. 범위 (1) 제품분류관점 전구체는박막을증착하기위한화학증착공정용원료로증착을원하는주요원자를중심으로 기화특성을향상시키기위한리간드 (Ligand) 1) 로결합되어있는구조 전구체를구성하는리간드는반응성이높고금속원자와결합력이상대적으로낮아어떠한반응기체와만나더라도완벽히원하는리간드로교체가이루어질수있도록대부분아민류나알킬류를사용 박막증착에사용되는핵심원소는실리콘 (Si), 알루미늄 (Al), 지르코늄 (Zr), 루테늄 (Ru), 니켈 (Ni), 티타늄 (Ti), 코발트 (Co), 텅스텐 (W), 탄탈럼 (Ta) 등 20가지가존재 ALD 전구체로는 HCDS (Hexa-Chloro-Di-Silane), DIPAS (Di-IsoprophylAmino-Silane) 등이있으며, 결과적으로합성되는 Layer는 Si기반전구체 Si기반전구체들의특징은산소기반으로분위기를조성해줄경우 Oxide 막질 (SiO2) 과같은절연막이되며, 질소분위기일경우 SiON이나 Si3N4와같은질화막을형성 High-K 역시기존 SiO2 대신 ZrO2나 HfO2를사용하는방식으로최종적으로 ZrO2 막질을형성하기위해서는거대원형 Penta 계열리간드가부착되어있는전구체가사용되며, Zirconium계열전구체의경우산소분위기에서최종적으로 ZrO2막이형성 전구체는용도에따라확산방지막 (Diffusion Barrier) 용전구체, 전극 (Electrode) 용전구체, 하 드마스크 (Hardmask) 용전구체, Gap-Fill 용전구체, 커패시터용 High-k 전구체, 게이트 (Gate) 산화막용 High-k 전구체등으로구분 확산방지막전구체의확산방지막은금속배선형성시금속원소나타불순물원소가절연막으로확산되어오염되는것을방지하기위한용도로사용되며, 이를위해 Ti(N), Ta(N), Ru 등이사용 전극용전구체의전극용소재는전자를보관하는커패시터의전극물질로사용되는경우가대부분이며, 접촉저항이낮은금속재료가필요하므로 TiN, Ru, Nb 등이사용되고, TiN, Ru 등전극의금속재료가확산방지용재료와동일한경우전극용전구체도혼용이가능 하드마스크용전구체의하드마스크는하드마스크용박막의경우초창기에는실리콘산화막과질화막을사용했으나, 최근에는미세패턴제작이용이하고식각내성이우수한비정질탄소박막 (Amorphous Carbon Layer, ACL) 이사용 Gap-Fill용전구체의반도체소자간간섭 (Cross-Talk) 을막기위해절연막이필요하며, 실리콘 1) 착화합물에서중심금속원자에전자쌍을제공하면서배위결합을형성하는원자나원자단 38

47 전략제품현황분석 산화막 (SiO2), 실리콘나이트라이드 (SiN) 등이주로사용 커패시터용 High-k 전구체소자의미세화로커패시터를 3D 로제조해야하는경우증착특성이 우수하고유전율이높은물질 (HfO2, Al2O3, ZrO2 등 ) 이필요 [ 적용기술에따른분류 ] 대분류중분류세부제품 확산방지막용전구체 C14H18Ru, C16H22Ru, C8H24N4Ti, TiCl4, C16H39N4Ta, C13H33N4Ta 하드마스크 (Hardmask) 용전구체 C6H12, C3H6, C4H12Si, C3H10Si 전구체 Gap-Fill 용전구체 [SiH2-NH]n,C6H18N2Si,C6H19N3Si, C9H25N3Si, C9H25N3Si 커패시터용 High-k 전구체 C12H32N4Hf, C12H32N4Zr, C3H9Al (2) 공급망관점 원자층증착기술로가장많이증착하는물질은산화물, 질화물, 황화물등이며, 금속원소같은 단일원소증착에도적용 원자층증착공정은나노스케일의두께제어가가능하고우수한단차도포성과균일한피복성 을지닌박막을성장시킬수있는증착법으로서차세대메모리반도체소자인 DRAM, Flash Memory, RRAM 및 PRAM 에핵심증착기술로응용 [ 공급망단계별주요제품분류 ] 대분류중분류세부제품및분야 원자층증착공정 (ALD) 전구체 원자층증착공정 (ALD) 소재 원자층증착공정 (ALD) 전구체 원자층증착공정 (ALD) 응용분야 산화물, 질화물, 황화물외 HCDS, DIPAS, High-k DRAM, 플래시메모리, RRAM, PRAM 39

48 ALD 전구체 2. 산업환경분석 가. 산업특징및구조 (1) 산업의특징 전구체는개발이어려운소재로진입장벽이높으나납품이개시될경우장기적으로안정 된매출발생가능하고고가의소재로상대적으로대규모설비가필요하지않아수익성이 높은품목 반도체박막재료부문으로반도체재료산업의경우대부분설비가동을위한소모성원재료 로구성되어있기때문에제조장비에비해산업경기영향을적게받음 전구체산업은합성, 정제, 충전이라는프로세스로진행되며, 개발된전구체의경우장비업 체나소자업체의평가를받기전까지그특성을확인할수없음 ALD 전구체제조업체의핵심경쟁력은박막의물성이해당공정에적합하도록메카니즘을화학적으로시뮬레이션하여실제공정장비에서적용이원활하도록설계하는것이며, 또한소재의안정적공급을위한높은수준의합성및정제기술뿐아니라소자업체의공정변경에신속하게대응할수있는사후관리능력까지필요 메모리소자및비메모리소자인로직소자를포함하는반도체소자및이를제조하기위한소재 / 부품과관련한기술들이집적된분야가반도체공정관련산업으로분류 전구체관련산업은상기의반도체공정관련산업중전체의 70% 가량을차지하고있는전공정산업에해당되고이중에서증착관련한산업은 15% 내외를차지하고있는산업분류에해당 반도체제조공정은광학계및증착, 식각과정을거쳐소자를웨이퍼상에구현하는전공정과제조된소자를조립하고검사하는후공정으로크게분류 전공정은웨이퍼상에소자를제조히는데필요한공정으로서노광공정, 식각 (Etching) 공정, 증착공정, 열처리공정, 이온주입공정, 세정및건조공정등을포함 소형화및휴대성이강화된첨단전자기기의수요증가에따른반도체소자의미세화요구로전공정중증착공정의비중이증가하는추세 40

49 전략제품현황분석 2000 년대부터지속적으로진행된반도체미세화로인해회로구현의난점, 누설전류의발 생, 동작속도저하등으로최근에는공정개선에대한기술적한계에부딪혀미세화진행 속도가현저히줄어들었으며, 그에따른박막재료의중요성이확대 (2) 산업의구조 전방산업으로서전구체의주요시장은반도체분야이나디스플레이, 태양전지재료분야로확대 중 후방산업은전구체소재, 원부재료, 장비및부대설비로구성 원재료의대부분을미국, 일본, 유럽, 중국등일부주요선진국으로부터수입에의존하고있어재 고확보등리스크존재 [ ALD 전구체산업구조 ] 후방산업 ADL 전구체전방산업 전구소재소재, 원부재료및부대설비 금속또는유전체증착을위한반응용물질 반도체, 디스플레이, 태양전지재료 나. 경쟁환경 반도체박막재료분야는미세화의성공여부를결정짓는선도기술이므로진입장벽이높아적용초기에진입하는것이유리한데, 그런측면에서국내메모리반도체시장은신규개발하는소재들의진입에유리한환경 국외전구체시장은주로다국적화학소재기업이대부분을차지하고있으며, 이들은전구체 단일품목뿐만아니라반도체및디스플레이공정에필요한전반적인화학소재를생산하여소 자업체에공급 국외의경우미국의 Air Product 사, ATMI 사및 ADEKA, 프랑스의 Air Liquid 사등에서전극 재료, 고 - 저유전물및 SOD 관련된 CVD/ALD 전구체기술을, DuPont 사에서는저유전물관 련전구체기술을강점으로확보 41

50 ALD 전구체 Air Liquide는산업용가스및전자재료글로벌기업으로하이-K( 고유전상수유전체 ), 기판공정 (FEOL) 및배선공정 (BEOL) 용금속, BEOL 용유전체등에사용되는 70여종의전구체포트폴리오를구성중 Adeka의반도체용화학물질들로는식각용가스, ALD/CVD 전구체등이있고고유전율, 페로일렉트릭, 전극, 배선, 저유전율물질등거의모든부분의 ALD/CVD 전구체물질들을보유 ATMI는박막재료및그와관련된 CVD 공정처리를위한진보된시스템과가스검출을모니터링하기위한생명안전시스템을제공하는회사로 1986년설립당시는 CVD 공정용반도체재료에중점을두고있으나현재 ATMI는미국, 유럽, 아시아에걸쳐반도체및평판재료공급업체 Dow Corning은실리콘을이용한사업확대를목표로 1943년다우케미컬과코닝글래스웍스의 50대 50 합작으로설립. 미국미시간주미들랜드에본사를두고있으며, 전세계에 41개의주요생산기지및물류시설이있는다국적기업으로현재실리콘산업의선두업체로실리콘소재제품공급능력을보유하고있으며, 실리콘화학을이용해접근하기용이한저유전율용 CVD 전구체에중점을두어관한연구, 제조및판매중 이외에영국의 Epi Chem 사는여러분야에서경쟁력있는기술을보유하고있으며, Sigma Aldrich, 악조노벨등이전구체시장의성장과함께시장에진입각축 일본의경우는고순도화학이고유전막재료 CVD 전구체관련기술을보유하고있으며, Sumito Chemical 및 TOSOH 등에서도 CVD 전구체를생산 세계반도체전구체시장은일본, 미국등의소수기업이주도권을차지하고있으나, 최근국 내업체의시장진입도활발 국내증착소재업체에서우수한특성을지닌전구체의개발이활발히이루어지고있고, 또한 개발된소재의특성파악을위해여러가지평가기술과실제증착효율을극대화시킬수있 는공정개발도원활히진행중 국내의대표적 CVD 및 ALD 전구체기술보유기업은디엔에프와유피케미칼로 High-k & Low-k와배선을위한 Metal 전구체및 SOD(Spin on Dielectric) 분야에서국외에비해기술경쟁력을보유하고있으며, 한솔케미칼, 원익머티리얼즈등에서부분적개발이진행되고있음. 교육기관으로서는한양대학교, 인하대학교등에서부분적으로진행되며화학연구소또한소규모연구소를운영 특히, 디엔에프는 2003년부터국내 외반도체제조업체및장비업체와공동개발을시작하였고, 이를바탕으로 2005년 Al 배선재료를시작으로 Hardmask용 ACL 재료, Gap fill용 SOD 42

51 전략제품현황분석 재료등의순서로제품을다각화. 2012년납품시작한 DPT 재료는현재까지높은시장점유율을유지중이고, 2013년하반기부터본격적으로공급시작한 High-k 전구체는 DRAM 제조공정의핵심인 Capacitor용재료로 DRAM 미세화적용중 2015년 SK그룹으로편입된반도체소재기업인 SK머티리얼즈가일본의트리케미칼과합작법인을만들고프리커서분야로사업을확장중인가운데일본트리케미칼사는반도체, 태양전지, 광섬유등에사용되는고순도화학물질을개발및제조, 판매하는업체이며, 반도체제조에가장많이쓰이는지르코늄계프리커서를세계최초로양산하는등높은기술력을보유 그러나아직까지반도체제조공정에서핵심기술력을좌우하는소재의국산화율은아직도미국 과일본에비해미흡한상황 중소기업입장에서의증착소재시장진입장벽을낮추기위해대량생산시스템의개발과개발된생산시스템에서생산되는제품을실시간으로진단하기위한측정기술이반드시필요하지만그수준이낮고지원전문연구자가부족하기때문에, 생산수율을향상시키고일정한품질의전구체를생산하기위한기술개발은아직까지미흡 국내전구체업체들의글로벌시장진입을위해증착소재의합성에대한기술노하우축적필요 하고, 생산된화학증착소재의품질관리를위하여샘플링을하여연구소내에서전구체의품질 관리시스템을구축하여측정결과를분석및 DB 화요구되는실정 43

52 ALD 전구체 구분 [ 주요전구체분류별경쟁자 ] 소재 High-K DPT/QPT HCDS 경쟁환경 SOH (Spin-on Hardmask) SOC (Spin-on Carbon) SOD (Spin-on Dielectric) 소재설명 두꺼운 Capacitor 에서높은 Capacitance 실현을위한고유전율물질 신공정 ( 저온공정등 ) 에적합한고반응성및고안정성의소재 SiN 증착 (LPCVD), SiO2 증착 (CVD, ALD) 에주로쓰이는공정소재 포토레지스트하부에적용, 후속에칭공정에대한보호막역할수행 포토레지스트하부에적용, 후속에칭공정에대한보호막역할수행 트랜지스터, 캐패시터, 셀간절연막으로사용되는소재 해외기업 Adeka, Air Liquide Air Liquide, Air Product Air Liquide, Dow Corning, Evonik, Nova-Kem JSR AZEM, Nissan Chem, Shin-Etsu AZEM 국내기업 디엔에프, 유피케미칼, 솔브레인, 한솔케미칼 디엔에프, 유피케미칼, 한솔케미칼 디엔에프, 유엠티, 한솔케미칼 삼성 SDI 동진쎄미켐, 디엔에프, 영창케미칼 동진쎄미켐, 디엔에프, 솔브레인 다. 전후방산업환경 전방산업인반도체산업은반도체제조업체들의미세화전략에따른 Bit Growth 와반도체수 요, 가격, 설비투자등에영향을받는가운데최근반도체미세화공정화의흐름과 3D 핀펫 (FinFET) 등신기술이도입되면서전구체사용량증가및수요다양화추세 44

53 전략제품현황분석 3. 시장환경분석 가. 세계시장 전구체소재시장규모는 2005 년 2 억달러에도미치지못했지만금속배선및 High-K 채용, Double/Quadruple Patterning 공정확대등으로꾸준한성장을거듭중 2015 년 23 억달러에서연평균 20% 의높은증가율을보이며, 2020 년에는 57.2 억달러로성 장예상전망 [ 반도체용전구체세계시장규모및전망 ] 구분 ( 단위 : 억달러, %) CAGR ( 13-15) 세계시장 * 자료 : Techcet CA LLC, 토러스투자증권, 메르츠종금증권리서치센터자료를바탕으로전망치추정 특히, 미세화및적층소자기술양쪽모두에서증착공정 Step 이증가하면서 ALD 공정용전 구체 (Precursor) 가반도체용전구체시장성장세를견인전망 * 출처 : 토러스투자증권리서치센터 [ 증착기술별반도체용전구체시장규모 ] 45

54 ALD 전구체 나. 국내시장 국내반도체용전구체시장규모는 2015년 6,200 억가량으로추산되며, 연평균성장률은 20% 로국내시장의고성장을유지하여 2020년에는 1조원 5천억규모의시장으로성장할것으로예상 반도체전구체는반도체의성능과직결되기때문에반도체공정기술의발전과더불어지속적인성장이가능한사업 국내전구체시장을이끌고있는산업으로는반도체분야로메모리반도체선두업체인삼성전자와 SK하이닉스가 25nm급미세공정을적용한 D램에서 23nm급및 21nm급 D램으로주력제품이전환되었고, 최근 18nm D램본격양산을시작했으며, 낸드플래시는 20nm급미세공정에이은 16nm급미세공정과 3D NAND(Vertical 낸드플래시 ) 를적용한제품을생산중인바, 메모리반도체분야에영향력증가전망 [ 반도체용전구체국내시장규모및전망 ] 구분 ( 단위 : 억원, %) CAGR ('13~'15) 국내시장 6,200 7,440 8,928 10,713 12,855 15, * 자료 : 메르츠종금증권리서치센터 < > 자료바탕으로전망치추정 46

55 전략제품현황분석 다. 무역현황 ALD 전구체로품목단위의무역현황을분석하는데한계가있어수출품목중기타유무기화합물의무역현황을살펴보았으며, 수출량과수입량이증가하는추세 ALD 전구체의수출현황은 11년 1억 1,424만달러에서 15년 1억 2,178 달러로상승하였으나수입현황도 11년 2억 2,416만달러에서 15년 2억 9,563만달러로상승하며, 무역수지적자기조를지속 최근 5년 ( 11-15년) 간연평균성장률을살펴보면수출금액은 1.6% 로상승하였고, 수입금액은 7.2% 로상승한것으로나타남 무역특화지수는 11 년부터 15 년까지 0.32 에서 0.42 로변화를보이며, 국내기업의수출량은 크게변동이없는것으로나타났음 [ ALD 전구체관련무역현황 ] 구분 ( 단위 : 천달러, %) CAGR ('11~'15) 수출금액 114,240 90,375 98, , , 수입금액 224, , , , , 무역수지 -109, , , , ,853 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 47

56 ALD 전구체 4. 기술환경분석 가. 기술개발트렌드 반도체의소자의높은균일도및직접도요구 ALD(Atomic layer deposition, 원자층증착 ) 는반도체및디스플레이소자제조시물질을원자단위로미세하게증착시킬때사용되는기술로기존증착기술인 CVD(Chemical Vapor Deposition, 화학기상증착법 ) 보다훨씬미세한증착이가능 기존반도체소자제조에는 CVD(Chemical Vapor Deposition), PVD(Physical Vapor Deposition) 기술이이용되었으나, 이러한기존의기술은선폭 90nm( 나노미터, 1nm=1x10-9m) 이하의나노급초고집적소자제조에적용하기에는한계를보유 ALD(Atomic layer deposition) 공정은개부분 400 이하의낮은온도에서이루어지고또한, 뛰어난균일도와나노두께의박막증착이가능하여점차증가하고있는복잡한 3차원구조의반도체및나노급초고집적반도체소자의수요가증가함에따라그중요도가상승중 * 자료 : ASMI, 미래에셋증권 [ ALD 공정의원리 ] ALD는박막형성에필요한원소를번갈아공급하여기판위에한원자층씩흡착되도록하는기술로지난 70년대초핀란드에서세계최초로개발되어 70년대말에는미국에서도관련연구개발이진행 ALD는 80년대초반에대면적전계발광표시소자 (ELD, electroluminiscent display) 제조에적용된적이있으나, 실리콘반도체소자제조에적용하기위한기술을연구하기시작한것은우리나라 48

57 전략제품현황분석 가최초로, 90년대중반부터 ALD 장비와공정기술의연구가활발히진행중 ALD 원천특허는특허기간이만료되어공개기술로전환된상태이며, 이기술을바탕으로 1990년대부터우리나라기업들이반도체소자제조에 ALD를활용하는방안에대한연구를시작한뒤현재는로열티를받고기술을수출하는 ALD 관련기술의선진국으로기술을선도 반도체소자제조장비와공정은미국이나일본등의선진국에비해관련연구가늦어원천기술보유가쉽지않았으나, ALD 공정및장비는국내에서최초로반도체소자제조공정에적용시키기위한연구를시작하여현재상당수준까지개발이진행 2000년대초특허출원의약 70% 이상을내국인이차지하고있으며, 우리나라가기술개발의종주국위치를유지하면서생산성이증가된장비가개발되어나노급반도체소자개발및양산경쟁에서다른나라의기업들보다우위를확보 ALD 기술은기존단점이생산성을보완하고미세화되는차세대반도체소자의특성손상및저하문제를극복하고저온에서최고의막질을형성할수있는 ALD 장비개발에주력 ALD 관련유망기술로는생산성을향상시키는플라즈마 ALD, 배치타입 ALD 기술및균일성및정밀도를높이는샤워헤드, 저온원자층증착기술등 49

58 ALD 전구체 [ ALD 관련주요유망기술 ] 번호기술명기술내용 플라즈마 ALD (plasma enhanced ALD) 배치타입 ALD 장치 (batch-type ALD apparatus) 종횡비 (high aspect ratio) 금속장벽층 (metal barrier layer) 샤워헤드 (shower head) 단차피복성 (step coverage) 두번째원료공급시플라즈마를적용하여원료분해와첫번째원료와의반응을촉진하여전체증착주기를단축하는플라즈마 ALD(Plasma Enhanced ALD, PEALD) 방법 배치타입 (batch type) 으로한증착장비로여러장의웨이퍼를동시에처리하여장당평균처리시간을단축이가능한기술 높은종횡비 (Aspect Ratio) 에서 100% 단차피복성 (Step Coverage) 을충족시키는기술 우수한막질을지닌메탈층을활용한원자층증착기술 기판주위에자기장을걸어대면적균일성을증진시키는자기 ALD 와원료를샤워헤드방식으로기판에고르게분사하는기술 높이차이가나는부분들은균일하게증착하는특성으로복잡한 3 차원구조도균일하게증착이가능한기술 7 두께균일성 (thickness uniformity) 복잡한형상의 3 차원구조에서도뛰어난균일도를지닌나노두께의박막증착이가능하여나노급반도체소자제조의필수적인증착기술 8 밸브제어장치 (valve control device) 각공정주기의시간을최소화하여전체공정속도를증가시키는기술 9 자기제한증착과정 (self-limiting deposition process) 반응물 - 표면의반응만일어나고, 반응물 - 반응물간의반응이일어나지않는반응으로원자단위로증착이가능한기술 10 저온원자층증착 (low temperature ALD) 식각공정이불필요하도록 ALD 공정의선택적증착특성을이용하여유연성폴리머인 PEN 기판상에 AZO 투명전극패턴을직접형성하는기술 * 출처 : 원자층증착장비, KC 리포트, 나노융합산업협력기구 Flexible Display, 반도체등의산업에서 pattern 형식이복잡해짐에따라, 이에적합한 ALD 기술적용확대예상 반도체소자의크기가감소함에따라반도체소자에사용되는박막은원자단위로제어되면서, 단차피복성이우수한특성을가져야하며, 또한계면에서확산과산화가일어나지않게하기위해서증착온도가낮은공정을요구 그결과향후반도체에사용되는박막은높은증착률의요구보다는매우정밀하고, 얇은박막이요구되기때문에 ALD 공정을위해서요구되는증착시간은문제가되지않고, 따라서반도체시장에 ALD 공정의수요가더높아질것으로판단 ALD 전구체는향후 ALD 공정이적용될반도체의게이트유전막, 게이트스페이서, 커패시터, 금속등에적용될것으로예상 50

59 전략제품현황분석 [ ALD 전구체적용분야 ] 번호기술명기술내용 1 게이트유전막 열산화막에의한게이트산화막대체분야 30nm 이하의공정에는 3A 이하의 EOT(Equivalent oxide thickness) 가요구되나기존열산화막공정은두께감소로인한유전막의누설전류증가로더이상적용이어려움따라서높은유전도를갖는 Al2O3 와 HfO2 유전막의정밀제어가가능한 ALD 가기존공정을대체하고있음 2 게이트스페이서 반도체소자가고집적화가됨에따라게이트채널길이감소길이감소에따라게이트특성도감속하고있는데이를저온에서이온주입층확산을방지해채널길이를일정하게유지할수있는 ALD 공정이많이적용되서사용중 3 커패시터 미래의반도체시장을주도하기위해서는 20 nm 급이하의초집적화된소자제조공정기술의확보가매우중요 20 nm 이하공정의전극재료, 유전재료를증착하기위해선 ALD 공정이적극활용될것으로예상 4 금속 초고밀도미세회기술과새로운재료의사용이요구되는 30nm 이하의고집적소자는금속전극및게이트전극등의증착기술에관한중요성이증대따라서단차피복성문제로기존의주를이루고있었던 PVD 방법이나 CVD 방법이문제가제기되고있고, ALD 로변화를추진 * 출처 : 최첨단반도체에서의 ALD 증착기술, 황철주 반도체산업에서 DRAM 공정난이도증가, V-NAND 적용등으로 Pattern이복잡해짐에따라보다섬세한증착공정인 ALD의사용비중이증가할것으로전망 현재 DRAM을생산하는주요기업의주력미세공정은 25nm으로. 25nm DRAM 의커패시터유전막의두께는 3A 수준으로원자층 2개정도두께 25nm DRAM 커패시터유전막공정에는이미 ALD가적용되고있으며향후. 20nm 이하공정에서는일부 Oxide 나 Nitride 절연막등에도 ALD 가확대적용이예상 51

60 ALD 전구체 * 자료 : ASMI, 미래에셋증권 [ DRAM 구조 ] 3차원구조에서도균일한증착도를보이는 ALD 공정의특징에따라 3D NAND 반도체에도 ALD 적용공정이확대될것으로예상 3D NAND 는레이어를여러번증착하고채널을형성하기위해홀을에칭하는공정으로구성되어있는데형성된소자들을연결하는워드라인은금속을증착해서생산 3D NAND는단수가올라갈수록홀의종횡비가올라가식각이어려워지고컨택과라인형성을위한미세한금속증착도요구 따라서 2016 년시작된 48 단공정에서는기존에 CVD를사용했던여러공정들이 ALD로대체되었으며향후 64단이후공정은난이도가더욱증가해 ALD의비중이더커질것으로전망 * 자료 : ASMI, 미래에셋증권 [ 3D NAND 공정 ] 온도에민감한 Flexible 및 OLED 공정에도적용가능 ALD 공정을통한금속을포함한원료와반응가스를교차하며주입해원자단위로박막을성장시켜. 균일도가높고치밀한박막을넓은면적으로성장시킬수있고유기물을분자층단위로성장시키는분자층증착 (MLD) 기술과함께사용하면낮은온도에서도다층의수분 산소투과방지막을형성가능 ALD 공정은유기발광다이오드176(OLED) 박막봉지공정에도입하여유기물을수분과산소로부터차단하는효과가증대되어플렉시블 OLED 패널수명과성능향상예상 52

61 전략제품현황분석 나. 주요업체별기술개발동향 (1) 해외업체동향 ALD(Atomic layer deposition) 전구체는주로 Air Liquide, Dow Corning, Evonik, Nova-Kem 등유럽및미국기업이기존화학물질에대한기술을기반으로특수화학물질분야로진출함에따라시장을선도 Air Liquide는 ALD 전구체를생산하는주요기업으로하이-K( 고유전상수유전체 ), 기판공정 (FEOL) 및배선공정 (BEOL) 용금속, BEOL 용유전체등에사용되는 70여종의전구체기술포트폴리오를보유 Air Liquide는현재 ALD 관련 30개에달하는신기술품목을글로벌반도체기업과협력을통해시험테스트를진행중 [ ALD 전구체 (DPT) 재료를이용한반도체하이케이캐패시턴유전막재료 ] ALD 전구체시장은소자의미세화가진전되면서기술적중요도가지속적으로확대되고있으며전구체는반도체제조에서매우중요한요소 반도체공정용전구체 (Precursor) 는 1) 원하는물성의박막형성, 2) 높은증착속도, 3) 우수한경제성 ( 높은공정수율 ), 4) 낮은기화온도에서의높은증기압, 5) 기화온도에서의열적안정성, 6) 작은화학적반응성, 7) 저독성, 8) 화학적고순도, 9) 경제적이고용이한합성방법 ( 높은합성수율 ), 10) 증착온도에서의완전한열분해등다양한조건을요구 공급업체의핵심경쟁력은박막의물성이해당공정에적합하도록메카니즘을화학적으로시뮬레이션하여실제공정장비에서적용이원활하도록설계하가필요 또소재의안정적공급을위한높은수준의합성및정제기술뿐아니라소자업체의공정변경에신속하게대응할수있는사후관리능력까지필요 따라서신규 Player의진입이매우까다로우며반면, 납품이개시될경우장기적으로안정된매출흐름을기대할수있는산업적특성보유 53

62 ALD 전구체 [ ALD 전구체 (DPT) 재료를이용한반도체미세패턴형성공정 ] ALD 공정은주로전구체를사용하는데참고로전구체는 Si나메탈원소에각종리간드 (Ligand) 를부착하여 CVD공정에서증착 Gas로활용하는물질를의미 즉전구체에있는각종리간드는최종적으로는떨어져나가고 Si나메탈만남게되어원하는물질로막질을증착 해당전구체로는 HCDS (Hexa-Chloro-Di-Silane), DIPAS (Di-Isoprophyl-Amino- Silane) 등이있으며결과적으로합성되는 Layer는 Si기반전구체지칭 HCDS는 SiN 증착 (LPCVD), SiO2증착 (CVD, ALD) 에주로쓰이는전구체로공급기업은 Air Liquide, Dow Corning, Evonik, Nova-Kem, DNF, 유엠티, 한솔케미칼등이존재 DIPAS는 EUV 노광장비의지연으로 Double/Quadruple Patterning 필요에사용되는전구체로공급기업은 Air Liquide, Air Product, Evonik, Nova-Kem, DNF, 유피케미칼, 한솔케미칼등이존재 * 자료 : 반도체산업, NH 투자증권 [ 전구체 ( 희생막용 ): HCDS ] * 자료 : 반도체산업, NH 투자증권 [ 전구체 (DPT 용 ): DIPAS ] 54

63 전략제품현황분석 (2) 국내업체동향 다품종생산이필요한전구체시장에서우리나라업체들의공격적인진입이두드러지고국내업체들로는한솔케미컬을위시하여 DNF, 유엠티, 등이고유전율박막용전구체, 금속배선용전구체등을생산 반도체벨류체인중전구체소재는공정장비보다국산화가늦은현재시장진입초입단계 반도체미세공정전화기술의발전단계에서소재에대한의존도보다장비에대한의존이더높아공정장비의국산화가더빠르게진행 국내는 2008년부터 ACL(Amorphous Carbon Layer) 공급해온 DNF가독보적인지위를보유 DNF사는 2007년설립하여 2013년까지 300억원의매출을기록 반도체전구체시장의높은진입장벽으로인해수년간퀄리피케이션테스트및양산적용하는데오랜기간이소요되어예상보다낮은매출을기록 현재꾸준한 R&D 투자로 DPT 전구체, HCDS 전구체, High-K 전구체를생산하고있으며이를기반으로 2014년에만 616억원의매출을기록 국내반도체업체 ( 삼성, 하이닉스등 ) 의 NAND FLASH 메모리의구조적변화에따른전자재료의사용량증가 DPT( 더블패터닝 ) 용전구체는미세패턴구현을위한핵심재료로, DPT를사용하는 Layer 증가, DPT에서 QPT( 쿼드러플패터닝 ) 으로의전환에따라전구체사용량은지속적으로증가하고있는추세로매출의절반을차지 HCDS( 헥사클로로디실란 ) 제품은공정의온도가비교적낮기때문에 Low-K로불리며, 일반적으로 SiN( 실리콘질화막 ), SiO( 실리콘산화막 ) 형성용전구체로 DRAM 및 NAND Flash용절연체로사용되어 3D NAND 전환및적층수증가에따라판매증가예상 High-K제품은 DRAM 메모리의캐패시터 ( 콘덴서 ) 유전막으로사용된다. 전하를일시적으로저장하는캐패시터를둘러싸고전하를흘러나오지못하게하는역할을수행하는데 20~30nm 미세공정용전구체를 2013년 3분기부터주요고객사에공급 향후 IoT 시장성장, SSD 수요증가에따른데이터처리속도및탑재용량확대로메모리반도체시장성장은가속화될전망이며이로인한 DRAM 업황개선및 3D NAND 투자본격화로동사주력제품들에대한수요증가가예상 DNF 외 ALD 전구체진입주요기업은솔브레인, 원익머트리얼즈, 한솔케미칼, 덕산유엠티등이존재 이중원익메트리얼즈, 덕산유엠티, 솔프레인은기업지분인수를통해외형확대를시도 하지만 ALD 전구체시장의각종테스트및높은진입장벽과퀄리피케이션테스트등으로인해 DNF의독보적지위는최소 2~3년간유지될것으로예상 55

64 ALD 전구체 다. 기술인프라현황 중소기업청에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록지원하는연구장비공동활용지원사업을운영 중소기업청산하중소기업기술정보진흥원을통해대학및연구기관이보유한연구장비를소프트웨어의중소기업공동활용을지원하여국가장비활용도제고와중소기업기술경쟁력향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 * 자료 : 중소기업기술정보진흥원 [ 연구장비공동활용지원절차 ] 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형 실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수 있는인프라를제공 56

65 전략제품현황분석 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소 중견기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 자료 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교육을진행 57

66 ALD 전구체 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 자료 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 58

67 전략제품현황분석 라. 특허동향분석 (1) 연도별출원동향 ALD 전구체기술의지난 7년 ( 10~ 16) 간출원동향 2) 을살펴보면 10년이후로증가추세를보이다가최근으로갈수록비교적감소하고있으나, 최근출원건이유지되고있어지속적으로 ALD 전구체관련기술개발활발 각국가별로살펴보면미국, 한국, 일본이비슷한출원경향을보이는데, 13년도까지점차로증가추세를보이다가그이후감소하는경향을보이고있으며, 유럽은소폭증감추세 국가별출원비중을살펴보면미국이전체의 60.7% 로최대출원국으로 ALD 전구체기술을리 드하고있는것으로나타났으며, 한국은 23.2%, 유럽 9.1%, 일본 7.0% 순으로나타남 [ ALD 전구체분야연도별출원동향 ] 2) 특허출원후 1 년 6 개월이경과하여야공개되는특허제도의특성상실제출원이이루어졌으나아직공개되지않은미공개데이터가존재하여 2015, 2016 년데이터가적게나타나는것에대하여유의해야함 59

68 ALD 전구체 (2) 국가별출원현황 한국의출원현황을살펴보면 13년까지지속적인증가추세를나타냈으나, 14년도이후로소폭감소세로전환되는경향 한국의내국인출원비중은 13년도까지계속증가하는추세를나타내고있으며, 외국인출원비중이내국인보다지속적으로커서국내시장에대한외국인의선호도가높은것으로추정 미국의출원현황은 13 년까지소폭증가추세를보이다가 14 년도이후에소폭감소하는추세 로전환, 미국의외국인출원비중이지속적으로증가하고있으나, 계속내국인비중이높게 나타나고있으며, 해마다내국인들의미국내출원이활발 일본의출원현황도 13 년까지소폭증가하다가이후감소하는추세를보이고있으며외국인의 출원비중이내국인대비월등히높은것이특징 유럽의경우는해마다소폭출원건수가증감을반복하는경향을보이고있으며, 유럽내외국 출원인의비중이높지않은것이특징 [ 국가별출원현황 ] 60

69 전략제품현황분석 (3) 투입기술및융합성분석 ALD 전구체분야의투입기술을확인하기위하여특허분류코드인 IPC Code 3) 를통하여살펴본결과 ALD 전구체분야의가장높은 IPC는 H01L 기술분야가 432건으로가장많이차지하고있으며, 이어서 C23C가 401건, C07F가 70건으로다수를차지 이외에 B05D 16건, H05H 14건, H01M 9건, B01J 8건, B32B 7건, H01B 7건, H01G 6건순으로기술이투입되어있어 ALD 전구체분야에다양한기술이융합되어존재 더불어해당 IPC의특허인용수명을살펴보면 B01J 기술분야의수명이 9년으로가장긴것으로나타났으며, H01L 기술분야는 6년으로가장짧은것으로분석 [ ALD 전구체분야상위투입기술 ] IPC 기술내용특허인용수명 (TCT) 4) H01L 반도체장치 ; 다른곳에속하지않는전기적고체장치 6 년 C23C C07F 금속재료의피복 ; 금속피복재료 ; 표면확산, 화학적전환또는치환에의한금속재료의표면처리 ; 진공증착, 스퍼터링, 이온주입또는화학증착에의한피복, 일반 탄소, 수소, 할로겐, 산소, 질소, 황, 셀레늄또는텔루르이외의원소를함유하는비환식, 탄소고리또는이종원자고리화합물 7 년 7 년 B05D 액체또는타유동성물질을표면에작용시키기위한공정일반 8 년 H05H 플라스마기술 6 년 H01M 화학적에너지전기적에너지직접변환하기위한방법또는수단 8 년 B01J 화학적또는물리적방법 9 년 B32B 적층체, 즉평평하거나평평하지않은형상 8 년 H01B 케이블 ; 도체 ; 절연체 ; 도전성, 절연성또는유전성특성에대한재료의선택 8 년 H01G 콘덴서 ; 전해용콘덴서, 정류기, 검파기, 개폐장치감광장치또는감온장치 7 년 3) 전세계적으로통용되고있는국제특허분류 (IPC: International Patent Classification) 를통해특허정보기술분야에서공지기술을조사할수있으며, 기술및권리정보에용이하게접근가능 4) 특허인용수명지수는후방인용 (Backward Citation) 에기반한특허인용수명의평균, Q1, Q2( 중앙값 ), Q3 에대한통계값을제시함. 특히이와같이산출된 Q2 는 TCT(Technology Cycle Time, 기술순환주기또는기술수명주기 ) 라고부름 61

70 ALD 전구체 투입기술이가장많은 H01L 분야와융합이높게이루어진기술은 H01B 분야로나타났으며, C09D, C01B 분야와도융합된기술의건수가높은것으로분석 이외에 C23C 분야와융합된기술은 B32B, C09D, B05C 분야와융합된기술이많은것으로나타났으며, C07F 분야와융합된기술은 H01B, H05H, C07C 기술로분석 [ ALD 전구체분야 IPC 기술및융합성 ] (4) 주요출원인분석 세계주요출원인을살펴보면주로미국의출원인이다수의특허를보유하고있는것으로나타났으며, 화학, 소재분야의출원인이대부분 주요미국출원인을살펴보면 AIR PRODUCTS AND CHEMICALS, APPLIED MATERIALS, ASM IP HOLDING, INTERMOLECULAR, AIR LIQUIDE, VEECO ALD, NEDERLANDSE ORGANISATIE VOOR TOEGEPAST NATUURWETENSCHAPPELIJK ONDERSOEK TNO, MICRON TECHNOLOGY, LAM RESEARCH, ASM INTERNATIONAL 등화학, 소재전문기업이다수출원을하고있는것으로나타났으며, 이들미국출원인은주로미국본국에출원건수가높은것으로나타남 62

71 전략제품현황분석 가장많은특허를보유하고있는 AIR PRODUCTS AND CHEMICALS 의 3 극패밀리수가 79 건으 로다국적으로시장을확보하며출원을하고있는것으로보이며, APPLIED MATERIALS 도 8 건으로다국적시장을확보 미국기업인 APPLIED MATERIALS 가확보한특허의피인용지수가 3.96 로가장높게나타나 기술의파급성이높은원천기술을다수보유하고있는것으로분석됨 63

72 ALD 전구체 [ 주요출원인의출원현황 ] 주요출원인 국가 주요 IP시장국 ( 건수 %) 한국미국일본유럽 IP시장국종합 3극패밀리수 ( 건 ) 피인용지수 주력기술분야 AIR PRODUCTS AND CHEMICALS 미국 % 29% 24% 16% 한국 GST 용 ALD 전구체, 휘발성 2 족금속 ALD 전구체 APPLIED MATERIALS 미국 % 85% 0% 0% 미국 텅스텐증착용 ALD 전구체, 낮은온도의실리콘산화막증착용 ALD 전구체 ASM IP HOLDING 미국 % 76% 0% 0% 미국 A 족원소함유박막증착용 ALD 전구체 INTERMOLECULAR 미국 47 0% 100% 0% 0% 미국 저온증착용 ALD 전구체 AIR LIQUIDE 미국 % 53% 0% 0% 미국 ALD 용루테늄, 티타늄등금속함유전구체 VEECO ALD 미국 % 50% 0% 0% 미국 고증착율을위한 ALD 용시드전구체 NEDERLANDSE ORGANISATIE VOOR TOEGEPAST NATUURWETENSCHAP PELIJK ONDERSOEK TNO 미국 % 28% 0% 55% 유럽 전구체공급장치등 ALD 장비기술 MICRON TECHNOLOGY 미국 28 0% 100% 0% 0% 미국 zirconium aluminum oxynitride (ZrAlON) 박막증착용전구체 LAM RESEARCH 미국 % 52% 11% 0% 미국 저온티타늄박막증착용티타늄함유 ALD 전구체 ASM INTERNATIONAL 미국 % 85% 4% 7% 미국 태양전지용 ALD 전구체 64

73 전략제품현황분석 (5) 국내출원인동향 국내출원인동향을살펴보면대기업은에스에프에이의출원건수가가장높게나타났으며, 중소기업에서는주식회사유피케미칼의출원건수가높게나타남 대기업의주요출원인은대기업의주요출원인은주식회사한솔케미칼, 엘지화학, 주식회사케이씨텍, 현대자동차, 주성엔지니어링, 엘지디스플레이삼성디스플레이등이있으며, 중소기업의주요출원인은주식회사엠티에스나노테크, 코닉이앤씨주식회사등이주요출원인인것으로나타남 기업이외의주요출원인을살펴보면한국화학연구원, 한국과학기술원, 한국기계연구원, 한국과학기술연구원, 한국에너지기술연구원등이활발한연구개발을하고있으며, 대학의경우에는성균관대학교, 고려대학교, 영남대학교, 연세대학교, 서울대학교등다양한대학교에서연구개발을하고있는것이특징으로분석됨 [ 국내주요출원인의출원현황 ] 65

74 ALD 전구체 5. 중소기업환경 가. 중소기업경쟁력 ALD 전구체분야의중소기업경쟁력은전체적으로참여규모와정도가중간이상인상황임 [ ALD 전구체분야중소기업현황 ] 소재분류개요대기업중소기업 중소기업 참여영역 중소기업 참여정도 High-K 두꺼운 Capacitor 에서높은 Capacitance 실현을위한고유전율물질 한솔케미칼, 원익머티리얼즈 디엔에프, 유피케미칼, 솔브레인 Capacitor 유전막및 Metal Gate 절연막으로사용되며 DRAM 생산적용 DPT/QPT 신공정 ( 저온공정등 ) 에적합한고반응성및고안정성의소재 한솔케미칼, 원익머트리얼즈 디엔에프, 유피케미칼 미세패턴구현을위한패터닝용희생막재료로일시적으로반도체집적도발전속도를따라가지못하는장비기술간의불균형해소에기여 HCDS SiN 증착 (LPCVD), SiO2 증착 (CVD, ALD) 에주로쓰이는공정소재 한솔케미칼, 원익머티리얼즈 디엔에프 저온공정용 SiO/SiN 전구체로써 DRAM 및 NAND Flash Memory 에적용 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 66

75 전략제품현황분석 나. 중소기업기술수요 ALD 전구체분야의중소기업의기술수요를파악하기위하여중소기업기술수요조사및중소기업 청 R&D 신청과제 (2013~2015 년 ) 를분석한결과아래표의내용과같은수요들이다수있는것으 로분석 [ ALD 전구체분야과제신청현황및수요조사결과 ] 전략제품기술분류관심기술 재료 금속 ALD 용전구체소재기술 저온 Si 화합물 ALD 용전구체소재기술 고온 Si 화합물 ALD 용전구체소재기술 유전체 ALD 용전구체소재기술 유전막평가기술 ALD 전구체 공정 고압 ALD 공정기술 상압 ALD 공정기술 전구체를실시간으로분산제어하는공정기술 장치 기판입출입제어기술 샤워헤드제어기술 증기및소스공급장치기술 67

76 ALD 전구체 다. 중소기업핵심기술 (1) 데이터기반요소기술발굴 ALD 전구체기술의특허및논문데이터검색을통해도출된유효데이터를대상으로데이터마이닝기법 (Scientometrics 기법 ) 을통해클러스터링된키워드의연관성을바탕으로요소기술후보군을도출 ALD 전구체기술의특허및논문유효데이터를기반으로키워드클러스터링을통하여 15개의요소기술후보군을도출 제품별 dataset 구축 : ALD 전구체기술관련특허 / 논문데이터를추출하여노이즈제거후제품별 dataset 구축 1차클러스터링 : 키워드맵을통한고빈도키워드확인-빈도수 (tf-idf) 5) 가상위 30% 에해당하는키워드를대상으로 1차추출 2차클러스터링 : 1차클러스터링에서추출된고빈도키워드사이에서고연관도키워드를 2차추출 ( 고연관도기준은연관도수치 6) 가 2이상인클러스터로제한 ) 다음그림은키워드간연관네트워크를시각화한것으로, 각키워드를나타내는원과키워드간의연관도를나타내는직선으로구성 각키워드가특허와논문중어느데이터에서도출되었는지원의색으로구분하였으며, 키워드로도출된클러스터는황색음영으로표시 키워드를나타내는원은고빈도의키워드일수록원의크기가크게표현되며, 연관도를나타내는선은키워드사이의연관도수치가높을수록굵게표현 ALD 전구체기술전략제품의특허 논문유효데이터에대하여키워드클러스터링결과를기반으로요소기술도출 데이터기반의요소기술도출은키워드클러스터링을통해도출된요소기술에대하여전문가의검증및조정을통하여요소기술을도출 5) 빈도수 (tf-idf) : 각키워드가출현되는특허또는논문수를의미 6) 연관도수치 : 두개이상의키워드사이의특허또는논문수를의미 68

77 전략제품현황분석 [ ALD 전구체기술분야키워드클러스터링 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 ALD, precursor, film [ ALD 전구체기술분야주요키워드및관련문헌 ] 4~7 1. Cobalt precursors for low temperature ALD or CVD of cobalt-based thin films 2. SYNTHESIS AND USE OF PRECURSORS FOR ALD OF GROUP VA ELEMENT CONTAINING THIN FILMS 3. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications 클러스터 02 ALD, precursor, low temperature 5~8 1. COBALT PRECURSORS FOR LOW TEMPERATURE ALD OR CVD OF COBALT-BASED THIN FILMS 2. SILICON PRECURSORS FOR LOW TEMPERATURE ALD OF SILICON-BASED THIN-FILMS 클러스터 03 ALD, precursor, thin 4~7 1. NOVEL BISMUTH PRECURSORS FOR CVD/ALD OF THIN FILMS 2. GROUP IV COMPLEXES AS CVD AND ALD PRECURSORS FOR FORMING METAL-CONTAINING THIN FILMS 69

78 ALD 전구체 No 주요키워드연관도수치관련특허 / 논문제목 클러스터 04 ALD, precursor, second 5~8 1. Precursors For GST Films In ALD/CVD Processes 2. SEQUENTIAL PRECURSOR DOSING IN AN ALD MULTI-STATION/BATCH REACTOR 클러스터 05 ALD, precursor, Si 4~7 1. AMINO(BROMO)SILANE PRECURSORS FOR ALD/CVD SILICON-CONTAINING FILM APPLICATIONS AND METHODS OF USING THE SAME 2. Organosilane precursors for ALD/CVD silicon-containing film applications 클러스터 06 ALD, precursor, ORGANOSIL ANE 7~9 1. HEXACOORDINATE SILICON-CONTAINING PRECURSORS FOR ALD/CVD SILICON-CONTAINING FILM APPLICATIONS 2. ORGANOSILANE PRECURSORS FOR ALD/CVD SILICON-CONTAINING FILM APPLICATIONS 클러스터 07 ALD, precursor, layer 4 1. Precursors for gst films in ald/cvd processes 2. AMINO(IODO)SILANE PRECURSORS FOR ALD/CVD SILICON-CONTAINING FILM APPLICATIONS AND METHODS OF USING THE SAME 클러스터 08 ALD, precursor, atomic 7 1. SYSTEM AND METHOD FOR SUPPLYING A PRECURSOR FOR AN ATOMIC LAYER DEPOSITION (ALD) PROCESS 2. Precursor compositions for ALD/CVD of group II ruthenate thin films 클러스터 09 ALD, precursor, 4~7 1. ZIRCONIUM, HAFNIUM, TITANIUM, AND SILICON PRECURSORS FOR ALD/CVD 2. NOVEL BISMUTH PRECURSORS FOR CVD/ALD OF THIN FILM 클러스터 10 ALD, precursor, metal 5~8 1. Copper precursors for CVD/ALD/digital CVD of copper metal films 2. Precursors for CVD/ALD of metal-containing films 70

79 전략제품현황분석 [ ALD 전구체분야데이터기반요소기술 ] No 요소기술명키워드 요소기술 01 금속 ALD 용전구체소재기술 metal, materials 요소기술 02 요소기술 03 저온 Si 화합물 ALD 용전구체소재기술 고온 Si 화합물 ALD 용전구체소재기술 low temperature, Silicon compound high temperature, Silicon compound 요소기술 04 고안정성 고반응성 Si 전구체소재기술 stability, reactivity 요소기술 05 요소기술 06 유전체 ALD 용전구체소재기술 멀티컴파운드전구체소재기술 dielectric material, ALD multi-layer compound, precursor 요소기술 07 전구체평가기술 precursor, evaluation 요소기술 08 고압 ALD 공정기술 high pressure, process 요소기술 09 상압 ALD 공정기술 atmospheric pressure, process 요소기술 10 외부대기차단 air barrier 기술 air barrier 요소기술 11 실시간분산제어기술 distribute, control 요소기술 12 공정실시간진단기술 process, analysis 요소기술 13 기판입출입제어기술 board, control 요소기술 14 샤워헤드제어기술 showerhead, control 요소기술 15 증기및소스공급장치기술 gas, source, supply 71

80 ALD 전구체 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ ALD 전구체분야요소기술도출 ] 분류요소기술출처 금속 ALD 용전구체소재기술 저온 Si 화합물 ALD 용전구체소재기술 고온 Si 화합물 ALD 용전구체소재기술 특허 / 논문클러스터링, 전문가추천, 기술수요 특허 / 논문클러스터링, 전문가추천, 기술수요 특허 / 논문클러스터링, 전문가추천, 기술수요 재료 고안정성 고반응성 Si 전구체소재기술 유전체 ALD 용전구체소재기술 특허 / 논문클러스터링 특허 / 논문클러스터링, 전문가추천, 기술수요 공정 멀티컴파운드전구체소재기술전구체평가기술고압 ALD 공정기술상압 ALD 공정기술외부대기차단 air barrier 기술실시간분산제어기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천, 기술수요 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 기술수요 공정실시간진단기술 특허 / 논문클러스터링 장치 기판입출입제어기술 샤워헤드제어기술 증기및소스공급장치기술 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 전문가추천, 기술수요 특허 / 논문클러스터링, 기술수요 72

81 전략제품현황분석 (3) 핵심기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심기술선정위원회를통하여중소기업에적합한핵심기술선정 핵심기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업적합성 (10) 을고려하여평가 [ ALD 전구체분야핵심기술 ] 분류핵심기술개요 금속 ALD 용전구체소재기술 금속배선재료, barrier 재료등의전도성소재를선택적증착, 즉전도성박막위에만증착하기위해사용되는전구체소재 저온 Si 화합물 ALD 용전구체소재기술 저온 (50 이하 ) 에서 Si 및 Si 산화물, Si 질화물등 Si 화합물을고성장증착가능한전구체소재 재료 고온 Si 화합물 ALD 용전구체소재기술 고온 (400~600 ) 에서고신뢰성고품질고성장 Si 화합물증착가능한전구체소재 유전체 ALD 용전구체소재기술 Si 화합물 ( 산화물 & 질화물 ) 을제외한고유전체및저유전체를고성장증착하기위해사용되는전구체소재 멀티컴파운드전구체소재기술 한전구체에다양한금속원소를포함된전구체소재 전구체평가기술 증기압, 순도등합성된전구체의물성평가기술 공정 외부대기차단 air barrier 기술 장비의신뢰성및안정성을위하여외부대기와반응기체의분리및접촉을차단하는고도의 air barrier 기술 장치 샤워헤드제어기술 기생플라즈마억제, 증착속도제어등을위한샤워헤드제어기술 73

82 ALD 전구체 6. 기술로드맵기획 가. ALD 전구체기술로드맵 74

83 전략제품현황분석 나. 연구개발목표설정 [ ALD 전구체분야핵심기술연구목표 ] 분류핵심기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 금속 ALD 용전구체 소재기술 금속증착소재 금속증착 소재개발 금속증착 소재성능 개선 금속증착 소재양산화 전도성박막위에만증착하기위해사용되는금속전구체소재개발 저온 Si 화합물 ALD 용 전구체소재기술 저온에서증착소재 저온에서증착소재개발 저온에서증착소재성능개선 저온에서증착소재양산화 저온 (50 이하 ) 에 서고성장증착 소재개발 소재 고온 Si 화합물 ALD용전구체소재기술유전체 ALD용전구체소재기술멀티컴파운드전구체소재기술전구체평가기술 고온에서증착소재 고유전체및 저유전체 고성장증착 소재 한전구체에 다양한 금속원소를 포함한전구체 소재 증기압, 순도등 합성된 전구체별물성 평가 고온에서증착소재개발 고유전체 및저유전체 고성장증착 소재개발 한전구체에 다양한 금속원소를 포함한 전구체소재 개발 평가기술설계 고온에서증착소재성능개선 고유전체 및저유전체 고성장증착 소재 성능개선 한전구체에 다양한 금속원소를 포함한 전구체소재 성능개선 평가기술분석 고온에서증착소재양산화 고유전체및저유전체고성장증착소재양산화한전구체에다양한금속원소를포함한전구체소재양산화 평가기술표준화 고온 (400~600 ) 에서고신뢰성 고품질고성장증착 소재개발 고유전체및 저유전체고성장 증착소재개발 한전구체에다양한 금속원소를포함한 전구체소재개발 고신뢰성전구체생산 외부대기와 공정 외부대기차단 air barrier 기술 반응기체의분리및접촉차단율 (%) 60-80% 80-95% 95% 이상 장비의신뢰성및 안정성확보 기생플라즈마 장치 샤워헤드제어기술 억제, 증착속도제어율 (%) 50-70% 70-90% 90% 이상 박막두께균일도향상 75

84

85 CMP 슬러리 전략제품현황분석

86

87 CMP 슬러리 정의및범위 화학적기계적연마 (CMP) 슬러리란반도체표면을화학적또는기계적방법으로연마하여평탄화하는 CMP공정에사용되는연마재료로서화학첨가물을포함한수용액과미립자로분산된연마입자로구성 CMP 공정에사용되는슬러리를제품관점에서절연박막 (dielectric) 용슬러리와전도박막 (electric) 박막용슬러리로분류정부지원정책 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 국내ㆍ외수요기업과의연계강화를통해국제경쟁력을갖춘장비ㆍ재료선도기업육성에역점을두어진행 원천기술확보및국산장비ㆍ재료의신뢰성향상을위한 반도체장비원천기술상용화개발사업, 성능평가협력사업, 수급기업투자펀드사업 등의상생협력프로그램을통해육성 중소기업시장대응전략 강점 (Strength) CMP 공정소모품시장중규모가가장큼 국내반도체생산업체가세계시장선도 다른 CMP 공정소모품업체가국내존재기회 (Opportunity) 삼성전자, SK하이닉스의소모품국산화움직임활발 해외기업과의특허분쟁일부해결 OLED 분야에도 CMP공정도입가능성제기 약점 (Weakness) 기존기술의특허회피및기술력확보어려움 시장진입을위한투자유치가어려움위협 (Threat) 옥사이드슬러리시장은정체기를겪는중 중국, 대만등후발국가에서자국업체전폭지원중 중소기업의시장대응전략 체계적, 조직적품질보증체계구축을통한국내글로벌반도체업체로의시장진입 원료다원화및공정단순화를통한원가절감으로가격경쟁력확보 지속적인연구개발과함께다수의특허포트폴리오확보를통해향후발생가능성이높은특허분쟁에대처

88 핵심기술로드맵

89 전략제품현황분석 1. 개요 가. 정의및필요성 화학적기계적연마 (CMP) 슬러리란반도체표면을화학적또는기계적방법으로연마하여평탄화하는 CMP공정에사용되는연마재료로서화학첨가물을포함한수용액과미립자로분산된연마입자로구성 CMP공정에는 CMP 패드, 슬러리, 컨디셔너로이루어진 3가지의소모품이사용되며이중직접적으로평탄화에관여하는소모품은패드와슬러리임 CMP 공정은웨이퍼표면을기계적화학적인방법을이용하여평탄화하는공정으로서반도체공정에서발생하는웨이퍼표면의요철을감소시켜주어공정효율과수율을향상시키는공정으로표면을효율적으로연마하기위하여연마입자와여러종류의화학첨가제가포함되어있는슬러리가필수적 CMP 슬러리의경우웨이퍼표면을연마할수있도록해주며기계적연마를담당하는연마입자와화학적반응을담당하며연마입자가잘분산되도록해주는분산제, 산도조절제및여러가지케미컬들의혼합액임연마입자는막질의종류에따라 SiO 2 (Silica), CeO 2 (Ceria) 입자등으로구성 슬러리의연마입자로사용되는것들로는텅스텐막질, 구리막질등의금속막질연마제로 SiO 2 입자가사용되고있으며, 일반적으로실리콘옥사이드 (SiO 2 ), 실리콘나이트라이드 (Si 3 N 4 ) 등의절연박막에는 CeO 2 입자가사용 현재가장많이사용되고있는것은 CeO 2 인세리아슬러리로서전체시장에서가장큰비중을차지 81

90 CMP 슬러리 [ CMP 슬러리내연마입자들 ( 좌 CeO2, 우 SiO2) ] 여러가지 CMP 공정마다사용되는슬러리는막질종류, 공정기술, ph, 농도등이다르며, 이에따라다양한형태의슬러리가개발되어사용중 CMP 공정의메커니즘으로는웨이퍼와패드사이의압력에의하여연마입자가웨이퍼표면과접촉하게되고이러한접촉및압력에의하여연마입자가웨이퍼표면의산화막과반응하게되는것이제안되었음. 반응된연마입자는슬러리약액의유동과함께배출됨. 즉, 웨이퍼표면에서의연질막질형성이 CMP공정에서막질제거를위하여중요한변수로작용 [ CMP 공정메커니즘 ] 현재반도체선폭이감소하고고집적화되면서요구되는슬러리입자의크기도작아지고있으 며, 금속재료의 CMP 공정이늘어남에따라이에적합한새로운재료의슬러리개발요구 82

91 전략제품현황분석 CMP 공정이평탄화공정뿐만아니라배선공정에까지사용됨에따라소모품인슬러리의사용 량역시점차증가되는추세 약 20년간이루어진 CMP 공정의최적화를통하여 CMP소모품들은산화막질평탄화에있어서는높은수준의 removal rate과스크래치저감을이루어냈으나최근사용되고있는새로운반도체물질들, 특히금속박막과다물질 (heterogeneous materials) 평면에있어서는산화막질평탄화에서의성능이나오지않는실정 또한, 웨이퍼의대구경화가진행되면서슬러리약액의기계적, 화학적특성에따라서웨이퍼 중앙부의연마율이달라져서 uniformity 가떨어지는현상이나타나고있음. 300 mm 웨이퍼 중심부의경우슬러리가잘전달되지못하는현상으로연마율이낮아지는현상이관찰 이러한 uniformity 저하현상은기계적으로는패드의기공및 groove 구조, 슬러리의점도및 분산성조절등을통하여최적화를진행가능 금속박막의경우대표적으로구리배선, 텅스텐플러그등이있으며, 금속박막의경우연마입 자가효과적으로평탄화를진행하기위해서는산화막이필수적으로금속산화막은과산화수소 수 (H 2 O 2 ) 등의화학물질을이용하여제어 하지만이런화학물질의첨가는웨이퍼상의박막뿐만아니라연마입자의표면화학조성에도 영향을미치기때문에산화제, 부식방지제, 분산제등한가지첨가제가아닌다수의화학첨가 제를새로요구 특히, shallow trench isolation(sti), in-layer dielectric, tungsten barrier, copper damascene 등두가지이상의재료로이루어진다양한구조가새롭게등장하면서원하는물 질의 removal rate 을유지하는 removal selectivity 가중요한화두로떠오르게됨 그러므로최근다양한연구를통하여 removal selectivity 를유지하면서새로운반도체물질에 대응하는다양한화학첨가제가대학및여러슬러리제조업체에서제작되고있으며향후연마 입자가들어있는연마슬러리자체보다첨가제의역할이더커질것으로예측 83

92 CMP 슬러리 하지만, 이러한화학첨가물로인하여연마입자자체의기계적거동이달라지는결과를초래하 여웨이퍼중심부의연마율산포가저해되는결과를초래하고있으며, 이를해결하기위해서는 웨이퍼 - 패드간극내의연마입자의고른분포를유도하는고분자분산제의중요성이점차대두 웨이퍼의대구경화가진행되면서 CMP 슬러리특성에따라웨이퍼위치에따른연마율의차이가나타나는바, Removal selectivity를유지하면서연마율산포를개선할수있는새로운슬러리의개발이필요 또한, 최근반도체재료로새롭게사용되는물질이늘어남에따라서산화막이아닌재료의평탄화를위해서는화학반응을일으키는슬러리약액의조성이중요해지고있는실정 질화막, 구리, 텅스텐등의비산화막의평탄화를위해서는연마입자가작용을할수있도록막질을산화시켜주는슬러리약액의조성이매우중요 최근슬러리제조업체들은연마작용을하는슬러리원액뿐만아니라점차적으로다양한막질및반도체구조에대응하기위한여러종류의첨가제상품화를시도하고있음. 특히, 화학적기계적반응을동시에지니고있는첨가제는학문적원리에근거한원천기술이중요하므로대학에서도많은연구가진행중 나. 범위 (1) 제품분류관점 CMP 공정에사용되는슬러리를제품분류관점에서분류하면절연박막 (dielectric) 용슬러리와전도박막 (electric) 박막용슬러리로분류 절연박막에는실리콘옥사이드 (SiO 2 ), 실리콘나이트라이드 (Si 3 N 4 ) 등의박막이있고, 전도박막은대부분금속박막 (Copper, Tungsten, titanium, Aluminum 등 ) 이사용 금속박막의경우산화막이금속보다기계적강도가낮기때문에산화제를사용해표면을산화 시킨뒤연마제를이용해기계적으로연마하는방식을사용함. 이를위해과산화수소, 질산철 등과같은산화제가슬러리에포함 현재 CMP 공정에서금속막질연마에대한비중이높아지고있어이에사용되는슬러리의수 요가증가하고있으며향후지속적으로증가할것으로예상 84

93 전략제품현황분석 * 출처 : NCCAVS CMPUG, 2013 [ 각공정별연간슬러리시장규모 ] 85

94 CMP 슬러리 [ 제품분류관점기술범위 ] 전략제품제품분류관점세부기술 Oxide 용슬러리 SiO 2 박막을효과적으로연마할수있는슬러리 수율확보를위한고성능, 고연마율기술확보필요 절연박막 (dielectric) 용슬러리 STI 공정용슬러리 연마입자특성만으로는슬러리성능을유지할수없어서추가적인첨가제필요 SiO 2 와 Si 3 N 4 막사이의고선택비유지를위한첨가제확보필요 첨가제의정적, 동적안정성확보필요 경계면단차생성방지기술확보 ILD 공정용슬러리 Gate 또는금속막의절연체도포후평탄화진행 Over 또는 under polishing 방지기술필요 CMP 슬러리 Cu 슬러리 효과적인연마를위한 oxidant, inhibitor, surfactant, chelating agent 등다양한첨가제조성최적화기술필요 Corrosion 방지를위한첨가제조성최적화필요 Organic defect, scratch 개선을위한슬러리성분최적화기술확보 금속박막 (electric) 용슬러리 W 슬러리 Plug(via) 또는 line 용배선의금속 residue 제거 Erosion, dishing, EOE 방지기술필요 W seam 확장문제해결을위한첨가제확보필요 Barrier 금속슬러리 금속막과실리콘옥사이드막사이에존재하여두접합면이파괴되는현상을방지 금속박막별적합한 barrier 소재선정기술필요 초미세박막의증착기술필요 86

95 전략제품현황분석 (2) 공급망관점 현재가장많이사용되던세리아슬러리의경우안정적인상태로 50 nm 급의입자분포를유 지할수있으나금속계열 CMP 를진행하는알칼리또는산성의 ph 에서안정적인분산상 태유지어려움존재 실리카입자의경우알칼리또는산성의 ph에서안정적인분산상태를유지할수있으나입자의크기를작게만드는것이어려움존재하는바, 이에따라작은크기의실리카입자를제조하는기술과안정적인분산상태를유지하는기술이요구되고있으며, 금속 CMP에사용될수있는새로운재료의개발또한요구되고있음 기존에입자를합성하는방법으로사용되던고상법, 액상법의제조법을개선시키는연구와새 로운합성법인초입계법등이새롭게연구진행중 슬러리제조후 CMP 공정에공급되기전까지슬러리의안정성을유지하고공정마진확보를 위한공급방식, 유통방식이필요 또한, 슬러리를제조한후, 슬러리의안정성이유지되는지여부의모니터링과, 유지기간의 확보, 제조 LOT 간의편차최소화등의기술요구됨 [ 슬러리요구조건로드맵 ] Particle size (nm) ph Oxide ceria Oxide silica metal silica 5 ~ 7 중성 10 이상의알칼리 2 이하의산또는 11 이상의알칼리 Defect size (nm)

96 CMP 슬러리 [ 공급망관점기술범위 ] 전략제품공급망관점세부기술 입자합성기술 고상법합성기술액상법합성기술초입계법합성기술입자형상제어기술 제조장비기술 입자합성재현성확보대량양산장비확보제조 LOT 당제조일관성확보 CMP 슬러리 슬러리안정화기술 분산안정화기술 ph 안정화기술공정마진유지기술 슬러리가공기술 유체, 고체제어기술화학약품제어기술입자분산도유지기술 평가 / 관리기술 입자크기분포측정기술입자형상측정기술안정성모니터링기술슬러리물성측정분석기술 88

97 전략제품현황분석 2. 산업환경분석 가. 산업특징및구조 (1) 산업의특징 슬러리산업은전방산업보다는후방산업과의연계가더두드러지는산업으로, 후방산업인 반도체제조산업의요구조건에맞추어산업이변형되는경우가많음 슬러리제조에는화학적인요소 ( 입자합성기술, 분산안정제, 입자안정제, ph 안정제, 표면 보호제, 산화제등등 ) 와기계적인요소 ( 입자크기분포조절, 입자형상조절, 입자밀링기술 등 ) 가동시에필요하므로복합적인기술을요하는산업 메모리반도체소자뿐만아니라시스템반도체소자도미세화됨에따라 CMP 공정의요구치 가증대되면서슬러리성능요구치역시높아졌고, 이에따라높은수준의기술력을요구 국내반도체생산업체에서원가절감및기술개발의편의성을위해소모품의국산화에대한 요구가증가하면서공동개발, 기술교육등이진행중 기술적요구수준이높고, 제조기술의노하우가많이필요한산업으로신규기업의시장진입 이어려운편이며, 시장규모는반도체소모품중가장크지만성장률은낮은편에속하여신 규기업진입어려움존재 (2) 산업의구조 슬러리산업은전방산업으로나노입자합성기술, 화학첨가제공급산업, 슬러리원재료공급 산업등이있고, 후방산업으로는메모리반도체제조, 시스템반도체제조, 로직소자제조등 이존재 후방산업인반도체제조산업의발전에맞춰동시에기술발전이이루어져야하며, 다른소모 품 ( 패드, 컨디셔너등 ) 와의조합및최적화가매우중요하므로동시에연구되는경우가다수 후방산업인반도체제조업체에서장비개발, 기술개발을위해슬러리기술개발업체로기술 89

98 CMP 슬러리 자를파견하거나공동개발, 기술이전, 자금지원등을하는경우가많아후방산업과의기술적 연계가높음 전방산업중세리아슬러리원재료공급산업은대부분중국에의존하고있어수입의존도가 높은상태 [ CMP 슬러리의산업구조 ] 전방산업 CMP 슬러리후방산업 나노입자합성기술화학첨가제공급산업슬러리원재료공급산업 절연박막용슬러리금속박막용슬러리 메모리반도체시스템반도체로직소자 나. 경쟁환경 CMP 공정이반도체공정에서핵심공정으로서, 웨이퍼표면평탄화뿐만아니라배선공정에 까지사용됨에따라, CMP 공정에사용되는슬러리의수요역시매년상승중 특히반도체칩의다층화와고집적화에따라텅스텐및구리막질의비중이높아지고, 이분야 에서의 CMP 공정이늘어남에따라텅스텐및구리에사용되는슬러리의수요가급증 [ 2011 년슬러리시장현황 ] 세계슬러리시장현황을보면구리소재에쓰이는슬러리와옥사이드막용슬러리가대부분을 차지하고있으며, 국내시장현황에서는세리아의비중이훨씬큼 90

99 전략제품현황분석 텅스텐 CMP 슬러리는미국의 Cabot Microelectronics 가시장점유율 1 위로기술적인특허를 대부분취득해국내기업의시장진입이쉽지않은상태이며, 대부분의금속 CMP 슬러리는미 국 Cabot Microelectronics 와일본히타치가시장을선점하고있어국산화가어려운상황 국내슬러리제조업체로는케이씨텍, 솔브레인, 동진쎄미켐, 삼성 SDI, LTCAM 등이있으며, 최근케이씨텍에서는세리아슬러리개발에성공해삼성전자에납품하고있고, LTCAM 에서는 Cu bulk 슬러리를개발하여 SK 하이닉스에납품중 국내슬러리제조업체는대부분중소, 중견기업으로삼성전자와 SK 하이닉스와의기술적연 계를맺고제품을개발하는경우가대부분 해외기술선도기업과의특허분쟁이일어나고있으며, 향후기술개발에있어특허전쟁이 일어날가능성이높음 [ 제품분류별경쟁자 ] 구분 제품분류 경쟁환경연마입자연마막질세리아실리카옥사이드텅스텐구리 주요품목및기술 고상법세리아, 액상법세리아, 초임계법세리아 흄드 (Fumed) 실리카, 콜로이달 (Colloid al) 실리카 SiO2 박막용, TEOS 박막용, SiN4 박막용, STI 공정용, ILD 공정용 W 박막용고선택비슬러리, W 박막용저선택비슬러리, Via 공정용 BC, DC 막질용 Cu bulk 슬러리, Cu barrier 슬러리, CU damascene 공정용 해외기업 3M, 케봇마이크로일렉트로닉스, 히타치, Versum materials 3M, 케봇마이크로일렉트로닉스, BASF 3M, 히타치, Versum materials 케봇마이크로일렉트로닉스 BASF, 케봇마이크로일렉트로닉스 국내기업 케이씨텍, 솔브레인, 동진쎄미켐, 삼성 SDI, 에이스나노켐, 동우화인켐 케이씨텍, 솔브레인, 동진쎄미켐, 삼성 SDI, 동우화인켐 LTCAM 91

100 CMP 슬러리 다. 전후방산업환경 슬러리전방산업은대부분의원재료를해외에서수입했었고국내기업의연구개발로현재는 많은부분에서국산화에성공하였으나, 아직슬러리제조및입자제조등에관한원천기술 은부족한실정 국내반도체제조업체인삼성전자와 SK 하이닉스가후방산업을이끌고있으며, 소모품의국산 화에대한의지와요구가높아현재국내슬러리산업은후방산업과의연계가잘되고있음 기존에 CMP 기술을적용하지않았던 OLED 산업분야에서도미세화에따라 CMP 기술을도 입하려고하고있어새로운후방산업이생성될가능성높음 삼성전자, SK하이닉스같은반도체제조사와각종소모품을생산하는세계최고수준의기업들이국내에있어전문화되고지식수준이높은인력이다수분포하고있고, 이외에성균관대, 부산대, 한양대등의대학에서전문적으로반도체 CMP공정에대하여연구하는학생들도다수있으므로향후고학력인력의공급역시원활할것으로전망 92

101 전략제품현황분석 3. 시장환경분석 가. 세계시장 CMP 슬러리업계는수요와단가가점점낮아지는시장에서경쟁우위를차지하기위해기존흄드실리카원료를보다정밀한반도체공정에유리한콜로이달실리카나세리아로바꾸는기술개발에한창임. 이와함께보다균일하고작은입자를사용하는기술과연마에사용되는코팅공법등다양한기술을시도하고있는실정 2015 년이후로중국에서국가단위로반도체산업을지원하게되면서중국후발업체들의기 술력이급격하게증가하고있으며, 투자금액역시큰폭으로증가하여중국업체들과의경쟁이 불가피한상황 2015 년화학적기계적 (CMP) 슬러리품목의세계시장규모는 2015 년 16 억 78 백만달러규모 로추산되며, 3D 낸드생산증가와비메모리핀펫방식 (3 차원입체구조의칩설계및공정기술 ) 확대로연평균 8.1% 성장하여 2020 년 24 억 77 백만달러의시장을형성할것으로전망 품목별로세리아 CMP 슬러리와함께금속계슬러리의성장도두드러지고있는가운데금속박 막으로는텅스텐과구리가대표적이며, 기존의알루미늄박막을높은전도도와저손상을앞세 워대체하고있어텅스텐과구리박막용슬러리의사용량이증가 [ CMP 슬러리의세계시장규모및전망 ] 구분 ( 단위 : 백만달러, %) CAGR ('13~'15) 세계시장 1,678 1,814 1,961 2,120 2,292 2, * 자료 : 반도체중소기업기술로드맵 (2015) 자료재인용하여전망치추정 93

102 CMP 슬러리 나. 국내시장 CMP 슬러리는반도체웨이퍼및회로를연마해평탄하게만드는역할을하기때문에전방산 업인반도체시장상황과기술동향에민감한가운데 CMP 슬러리시장은고부가화가진행되고 있지만원료가격상승과특허장벽이장애요인으로부각 다만, 반도체는호황이지속되고삼성전자와 SK 하이닉스의 D 램시장점유율이 60-70% 에달하고 있어국내 CMP 슬러리시장이동반성장하고있으며, 국내에서는 CMP 슬러리를삼성 SDI, 케이 씨텍, LTCAM, 동진쎄미켐, 에이스나노켐, 테크노세미켐등이생산중 삼성전자의비메모리반도체투자확대로 CMP 슬러리수요가크게늘어날것으로예상되고있 는데, 비메모리반도체는메모리반도체에비해다층구조로평탄화공정이필수적이기때문임 또한, 최근용도가확장되고있는세리아슬러리와함께반도체제조사들의구리배선공정도입으로인하여연마용슬러리시장은폭발적으로성장할것으로예상되며, 특히 Cu 및 Cu barrier 슬러리의경우는매년높은성장률을기록하며성장세를유지할것으로전망 슬러리분야는주로 Cu와 Cu barrier가전체시장의절반정도를차지하고있으며, 텅스텐이 20% 내외를 STI와절연막에대한슬러리가나머지를차지하고있는구도 삼성전자시스템 LSI 사업부는지금까지미국쓰리엠이독점공급하던특수세리아 CMP 공정 을최근범용공정으로전환, 이는국내전문중견ㆍ중소기업이반도체슬러리시장에확대진 입할수있는기회가될것으로예상 국내시장규모는 2015 년 253 억원규모로추산되며, 연평균 5.1% 성장하여 2020 년 324 억 원의시장형성이전망 [ CMP 슬러리의국내시장규모및전망 ] 구분 ( 단위 : 억원, %) CAGR ('13~'15) 국내시장 * 자료 : 반도체중소기업기술로드맵 (2015) 자료재인용하여전망치추정 94

103 전략제품현황분석 다. 무역현황 CMP 슬러리로품목단위의무역현황을분석하는데한계가있어수출품목중조제점결제 ( 주물의주형용또는코어용의것에한한다 ), 따로분류되지아니하는화학품과화학공업이나연관공업에따른조제품 ( 천연물만의혼합물을포함한다 ) 품목의무역현황을살펴보았으며, 수출량에비하여수입량이다소큰폭으로감소하는추세 CMP 슬러리의수출현황은 11년 11억 2,063만달러에서 15년 8억 9,872만달러로감소하였으며, 수입현황은 11년 24억 1,609만달러에서 15년 16억 3,026만달러로감소하여무역수지적자폭이감소하였으나여전히무역수지적자기조 최근 5년 ( 11~ 15년) 간연평균성장률을살펴보면수출금액은 -5.4% 로감소하였으며, 수입금액은 -9.4% 로감소한것으로나타남 무역특화지수는 11 년 (-0.37) 부터 15 년 (-0.29) 까지소폭증가한것으로나타나며, CMP 슬러 리는수출에비해수입이많은것으로분석 [ CMP 슬러리관련무역현황 ] 구분 ( 단위 : 천달러 ) CAGR ('11~'15) 수출금액 1,120, , , , , % 수입금액 2,416,092 2,145,464 2,003,644 1,953,940 1,630, % 무역수지 -1,295,454-1,382,043-1,144, , ,538 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 95

104 CMP 슬러리 4. 기술환경분석 가. 기술개발트렌드 초정밀경면가공이가능한 CMP 기술의필요성증가 CMP (Chemical Mechanical Polishing) 는배선단차를낮추고평탄화하는공정 CMP 공정은 Platen위에굴곡이있는 Pad가있고그위에 CVD 공정을거친 Wafer를 Head라는장치에부착시켜 Wafer에압력을가하면서회전시키는방식 여기에 Slurry 용액이투하되면서 CVD 막질을화학적 (Chemical), 기계적으로 (Mechanical) 연마하는 (Polishing) 공정 자료 : 메리츠종금증권리서치센터 [ CMP 공정개념도 ] 1980년대말미국 IBM은기계적제거가공과화학적인제거가공을하나의가공방법으로혼합한 CMP(Chemical Mechanical Polishing) 라는새로운연마공정을개발 CMP는 PECVD와 RIE 공정과함께 submicron scale의칩제조에있어서반드시필요한공정 ILD(Interlayer Dielectric, 층간절연막 ) CMP와 metal CMP는디바이스층의모든표면에서계속적으로적용되어야하며, 3차원의형상정도를얻기위해서각층의광역적인평탄화를형성하는것이 CMP의주된역할 CMP는이러한기계적인작용과화학적인작용이동시에작용하여서로상호작용을일으키는연마공정 CMP 공정에서웨이퍼는패드와슬러리에의해서연마되어지며, 패드가부착된연마 table은단순한회전운동을하고 head부는회전운동과요동운동을동시에행하며일정한압력으로가압 96

105 전략제품현황분석 웨이퍼는표면장력또는진공에의해서 head부에장착 헤드부의자체하중과인가되는가압력에의해웨이퍼표면과패드는접촉하게되고이접촉면사이의미세한틈 ( 패드의기공부분 ) 사이로가공액인슬러리가유동을하여슬러리내부에있는연마입자와패드의표면돌기들에의해기계적인제거작용이이루어진후슬러리내의화학성분에의해서는화학적인제거를수행 CMP 공정에서패드와웨이퍼간의가압력에의해디바이스돌출부의상부에서부터접촉이이루어지고이부분에압력이집중되어상대적으로높은표면제거속도를가지게되며, 가공이진행되어갈수록이러한요출부는줄어들어전면적에걸쳐균일하게제거 기존의기계적인연마방식은가공변질층이형성이되는데이러한변질층은반도체칩상의결점이되며화학적인연마는변질층이생성되지는않지만평탄화된형상즉형상정밀도를얻을수가없으며단순히평활한면을형성 자료 : 메리츠종금증권리서치센터 [ CMP 공정 - 평탄화 + 단차조절 ] CMP 슬러리는화학적기계적연마 (CMP) 슬러리는반도체소자를제조할경우웨이퍼상에형성된다양한배선의단차를낮추고평탄화하는공정에사용되는분말을의미 화학적기계적연마 (CMP) 슬러리는굴곡이있는웨이퍼면의평면성을향상시키고, 배선및박막의표면을균일하게하며돌출부를선택적으로제거하는연마슬러리로사용 현재가장많이사용되고있는것은 CeO2인세리아슬러리로서전체시장에서가장큰비중을차지 97

106 CMP 슬러리 3D NAND 등 3 차원반도체구조변화로 CMP 중요성확대 절연층 CMP 슬러리는반도체공정중 ILD(Interlayer Dielectric) 공정과 STI(Shallow Trench Isolation) 공정에사용 과거에는소자분리를위하여 LOCOS 공정을이용하였으나, 소자의고집적화가보편화되기시작하면서 STI공정이적용 자료 : Asahi Glass [ CMP 공정 - 평탄화 + 단차조절 ] STI 공정에서 CMP에의한평탄화를달성하기위해서는상부막으로사용되는질화막에대한선택비의엄밀한관리가요구 기종의평탄화용슬러리로사용되었던실리카슬러리는질화막에대한연마선택비가매우낮으며, 패턴농도에따라서사전에 etch back 공정을도입해야하는단점발생 위와같은추가공정에의해서선택비가감소하며모서리부분에서의 rasing 현상에의한문턱전압의증가를가져오는결과초래하여, 이와같은단점을극복하기위하여세리아를슬러리로적용 Ceria Slurry는고유의화학적특성으로인하여좋은연마능과질화막에대한높은선택비를나타내고있는상황 기존 STI 공정에는수백나노의 Silica를 KOH용액에분산시킨용액으로절연막인 SiO2와화학반응을통해반도체막질을박리하는 Oxide CMP Slurry를사용 하지만최근에는 STI 전용 CMP Slurry인 Ceria Slurry가 Oxide CMP Slurry를대체 Ceria Slurry는 CeO2를계면활성제인첨가제와섞어사용 Ceria Slurry는 Nitride와 Oxide간선택비가매우높아원하는단차에서 CMP 공정을멈출수있는장점을보유 금속 CMP 슬러리는주로배선용인텅스텐, 알루미늄, 또는구리의인터커넥션재료의연마를위하여사용하며, 그밖에는컨택용금속과비아플러그형성시또는이중의상감공정 (damascene) 을위하여사용 텅스텐의화학적기계적연마시에는산화제가포함된슬러리를사용하며, 실리카, 알루미나가포함 98

107 전략제품현황분석 된슬러리는과산화수소, 질산철등과같은강산화제를혼합하여사용 텅스텐박막의화학적기계적연마과정은산화제에의한텅스텐박막의산화로형성된산화텅스텐을기계적인연마를수행함으로써진행, 이는산화텅스텐이텅스텐박막보다기계적인강도가현저하기낮기때문에가능 자료 : 한양대학교국가지정연구실 [ Ceria CMP Slurry Process ] 강산화제가포함된슬러리의경우는강력한산화반응에의한연마선택비가매우크게나타나므로표면의거칠기가증가하는단점이존재하며, 이러한단점을보완하기위해서는슬러리의농도에대한세밀한관리가필요 Cu의화학적기계적연마공정은이중상감공정을통해진보되었으나이공정을거치면서 Cu 박막의표면에많은결함들의발생을수반하게되어이에대한해결책제시가가장큰기술적이슈로등장 QPT, 3D NAND, FinFET등반도체 3차원구조로의변화로 CMP공정의중요성이점차확대중 QPT, 3D NAND, FinFET등반도체 3차원구조로의변화로 CMP공정중요성이확대 CMP는 CVD공정다음스텝으로 CVD공정이늘게되면자연스럽게 CMP공정도증가 CVD로막질을형성하게되면 CMP로평탄화하거나단차를낮춰야하기때문. 3차원구조는 CVD공정스텝이증가하기때문에 CMP 스텝도증가 또한수십 Layer를형성해야하기때문에 CMP로단차를낮추는수요증가예상 99

108 CMP 슬러리 나. 주요업체별기술개발동향 (1) 해외업체동향 CMP패드와더불어 CMP공정의핵심제품으로서히타치, 캐보트, 쓰리엠, 다우케미칼등의주요해외제조업체에서 STI CMP슬러리, 텅스텐 CMP슬러리, 구리 CMP 슬러리등을생산중 2012년 15개정도의슬러리공급업체가전체시장중약 9천만달러를차지하고있으며, 패드분야는 16개이상의공급업체가약 6천만달러의시장을확보하고있는것으로조사 그밖의부재로서는패드컨디셔너가약 2천 5백만달러를, PCMP 클리너는 7천 5백만달러를기록하는등대략화학적기계적연마 (CMP) 슬러리분야의총소요품시장은약 20억 4천만달러에이르는것으로조사 반도체집적도가높아지면서반도체웨이퍼회로의불필요한박막연마공정에서높은정밀도가요구되는소비추세변화 차세대웨이퍼연마기술인화학적ㆍ기계적연마공정이최근메모리양산라인에까지확대도입 되고있는가운데이와관련한각종 CMP 소모품에대한국내외업체들의시장공략이본격화 진행 자료 : Chemical Mechanical Planarization, F.M Doyle, D.A Dornfeld, J.B Talbot [ CMP Slurry Application ] 100

109 전략제품현황분석 최근주목받고있는 CeO2 입자를통한 Shallow Tranch 용 STI Ceria Slurry 주요생산기업으 로는 Hitachi, Showadenki, Nitta Mitsui 사가존재 주로일본기업에의해시장이선도 Al2O3 입자, Mn2O3 입자, SiO2 입자를통한 W, Al, Cu 제거용금속슬러리주요공급업체로는 DOW chemical, Hitachi, Rodel, EKC, Sumitomo 사가존재 금속 CMP 슬러리는주로텅스텐, 구리등과같은배선용금속층에적용 이중상감공정에서의불량발생은주로금속찌꺼기의잔류, 스크래치의발생, 핀-홀의발생, 마이크로크랙의발생등으로분류되며이를해결하기위한슬러리의개발이요구 SiO2 입자, ZrO2 입자, Al2O3 입자, Mn2O3 입자를통한산화막제거용절연층슬러리주요생산업체로는 Cabot, Fujimi, JSR, Sumitomo, EKC 사가존재 고집적반도체소자를구현하기위해서미세패턴화가진행되며이에따른노광조건이더욱까다로워지고있어표면의굴곡으로인한 focus fail을극복하기위해서는화학적기계적연마공정이필수적 절연막으로사용되는 ILD의경우는지나치게두꺼워질경우소자의신뢰성에문제를야기시킴에따라서이를해소하기위한절연층 CMP 슬러리가요청되는추세 집적도의증가로소자간의간격이더욱좁아지고있기때문에소자간의분리가매우중요한기술적난제로등장하고, 이러한문제를해결하기위해도입된 STI 공정은상부에잉여로증착된절연막을반드시제거 슬러리의주목적별사용처별로다양한기업이존재 최근용도가확장되고있는 Ceria 슬러리와함께반도체제조사들의구리배선공정도입으로인하여연마용슬러리시장은폭발적으로성장할것으로예상되며, 특히 Cu 및 Cu barrier 슬러리의경우는매년높은수요가유지될것으로전망 슬러리분야는주로 Cu와 Cu barrier가전체시장의절반정도를차지하고있으며, 텅스텐이 20% 내외를 STI와절연막에대한슬러리가나머지를차지하고있는구도 STI 연마용슬러리와 Cu 용슬러리는지속적으로그시장이성장하는반면에텅스텐용과 ILD 용은시장의확장세의변화가정체 실리카슬러리분야는고급제품을중심으로미약하나마시장이조금씩재생되고있지만여전 101

110 CMP 슬러리 히슬러리분야는세리아를기본으로하여다양한응용들이도입중 슬러리시장에새로운공급자가등장하거나기존의공급자들이퇴출되는현상은거의발생하지않 은상황 (2) 국내업체동향 국내 CMP 슬러리제조업체로는제일모직, 케이씨텍, 솔브레인, 동진세미켐등이있으며 STI CMP슬러리, 텅스텐 CMP슬러리를주요제품으로개발하여생산중이나아직미세배선용구리 CMP슬러리는기술개발이필요함 산화막제거용절연층슬러리는제일모직, 케이씨텍, 네패스, 동진세미켐등국내제조기업이존재 W, Al, Cu 제거용금속슬러리는동진세미켐, 금호석유화학등에의해생산중 Shallow Tranch 용 STI용슬러리는케이씨텍삼성코닝등의국내기업존재 이외제일모직은 Fumed Silica 금속연마용슬러리를개발중이며특징으로는 Fumed silica용슬러리를생산중 비메모리생산라인에주로사용되던 CMP 기술이최근들어메모리생산라인으로까지확대채 용됨에따라 CMP 장비와함께향후급성장할것으로예상되는연마포 ( 패드 ) 와연마액 ( 슬러리 ) 등 CMP 관련소모품시장선점을위한업체간경쟁도치열 최근국내반도체시장은메모리시장의규모에비해비교적규모가작았던시스템 LSI 공정분야에서도소자의패턴미세화가진행됨에따라서소재국산화에대한요구가급증 삼성전자시스템 LSI사업부는지금까지미국쓰리엠이독점공급하던특수세리아 CMP 공정을최근범용공정으로전환, 이는국내전문중견ㆍ중소기업이반도체슬러리시장에확대진입할수있는기회가될것으로예상 102

111 전략제품현황분석 다. 기술인프라현황 중소기업청에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록지원하는연구장비공동활용지원사업을운영 중소기업청산하중소기업기술정보진흥원을통해대학및연구기관이보유한연구장비를소프트웨어의중소기업공동활용을지원하여국가장비활용도제고와중소기업기술경쟁력향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 * 자료 : 중소기업기술정보진흥원 [ 연구장비공동활용지원절차 ] 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형 실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수 있는인프라를제공 103

112 CMP 슬러리 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소 중견기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 자료 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 104

113 전략제품현황분석 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양 성교육을진행 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 자료 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 105

114 CMP 슬러리 라. 특허동향분석 (1) 연도별출원동향 CMP 슬러리기술의지난 7년 ( 10~ 16) 간출원동향 7) 을살펴보면 12년을기점으로감소추세에서증가추세로전환, 최근까지출원건이유지되고있어지속적으로 CMP 슬러리관련기술개발활발 각국가별로살펴보면미국및일본의출원경향은점차로증가추세를보이다가감소하는경향을, 한국및유럽은소폭감소에서증가추세 국가별출원비중을살펴보면미국이전체의 45.5% 로최대출원국으로 CMP 슬러리기술을 리드하고있는것으로나타났으며, 한국은 32.5%, 일본 16.3%, 유럽은 5.7% 순으로나타남 [ CMP 슬러리분야연도별출원동향 ] 7) 특허출원후 1 년 6 개월이경과하여야공개되는특허제도의특성상실제출원이이루어졌으나아직공개되지않은미공개데이터가존재하여 2015, 2016 년데이터가적게나타나는것에대하여유의해야함 106

115 전략제품현황분석 (2) 국가별출원현황 한국의출원현황을살펴보면 12년까지소폭감소하였으나, 13년도에소폭증가하고있으며, 이후소폭감소하는경향 한국의내외국인의비중은큰증감의경향을보이지않고있어국내시장에대한외국인의선호도가증가하고있지않은것으로추정 미국의출원현황은 11 년까지증가추세를보이다가 12 년도에감소했다가이후다시증가하는 추세를보이고있으며, 미국의내외국인비중은 50% 를기점으로증감현상을지속적으로보이 고있어타국가대비외국출원인들의미국내출원이활발 일본의출원현황은 12 년까지소폭증가하다가이후감소하는추세를보이고있으며외국인의 출원비중은낮은경향은한국과유사 유럽의경우전체특허건수가크지않으며, 유럽내외국출원인의비중이높은것이특징 [ 국가별출원현황 ] 107

116 CMP 슬러리 (3) 투입기술및융합성분석 CMP 슬러리분야의투입기술을확인하기위하여특허분류코드인 IPC Code 8) 를통하여살펴본결과 CMP 슬러리분야의가장높은 IPC는 H01L 기술분야가 262건으로가장많이차지하고있으며, 이어서 C09K가 117건, B24B가 68건으로다수를차지 이외에 C09G 39건, B24D 8건, B44C 7건, C03C 6건, C01F 5건, B01D 4건, B08B 3건순으로기술이투입되어있어 CMP 슬러리분야에다양한기술이융합되어존재 더불어해당 IPC 의특허인용수명을살펴보면 B24D 기술분야의수명이 10 년으로가장긴것으로 나타났으며, B44C 기술분야는 5 년으로가장짧은것으로분석 [ CMP 슬러리분야상위투입기술 ] IPC 기술내용특허인용수명 (TCT) 9) H01L 반도체장치 ; 다른곳에속하지않는전기적고체장치 6 년 C09K 그밖에분류되지않는응용되는물질 ; 그밖에분류되지않는물질의응용 7 년 B24B 연삭또는연마하기위한기계, 장치또는공정 ; 마모면의드레싱또는정상화 ; 연삭제, 연마제또는랩핑제의공급 7 년 C09G 프랑스니스이외의광택제조성물 ; 스키왁스 8 년 B24D 그라인딩, 버핑또는날연삭용공구 10 년 B44C 장식효과의창작 ; 모자이크 ; 목판세공 5 년 C03C C01F 유리, 유약또는유리질법랑의화학적조성 ; 유리의표면처리 ; 유리, 광물또는슬래그로부터의섬유또는필라멘트의표면처리 ; 유리와유리또는타물질과의접착 금속베릴륨, 마그네슘, 알루미늄, 칼슘, 스트론튬, 바륨, 라듐, 토륨화합물또는희토류금속화합물 7 년 8 년 B01D 분리 10 년 B08B 청소일반 ; 오염방지일반 9 년 8) 전세계적으로통용되고있는국제특허분류 (IPC: International Patent Classification) 를통해특허정보기술분야에서공지기술을조사할수있으며, 기술및권리정보에용이하게접근가능 9) 특허인용수명지수는후방인용 (Backward Citation) 에기반한특허인용수명의평균, Q1, Q2( 중앙값 ), Q3 에대한통계값을제시함. 특히이와같이산출된 Q2 는 TCT(Technology Cycle Time, 기술순환주기또는기술수명주기 ) 라고부름 108

117 전략제품현황분석 투입기술이가장많은 H01L 분야와융합이높게이루어진기술은 B24B 분야로나타났으며, C09G, C30B 분야와도융합된기술의건수가높은것으로분석 이외에 C09K 분야와융합된기술은 C09G, B44C, B04B 분야와융합된기술이많은것으로나타났으며, B24B 분야와융합된기술은 B24C, B24D, B32B 기술로분석 [ CMP 슬러리분야 IPC 기술및융합성 ] (4) 주요출원인분석 세계주요출원인을살펴보면주로미국의출원인이다수의특허를보유하고있는것으로나타났으며, 화학, 소재분야의출원인이대부분 주요미국출원인을살펴보면 AIR PRODUCTS AND CHEMICALS, CABOT MICROELECTRONICS, APPLIED MATERIALS, SINMAT, ROHM AND HAAS ELECTRONIC MATERIALS, FUJIFILM PLANAR SOLUTIONS 등화학, 소재전문기업이다수출원을하고있는것으로나타났으며, 이들미국출원인은주로미국본국에출원건수가높은것으로나타남 한국출원인으로는케이씨텍, 삼성전자등의기업이상위출원인으로나타나 CMP 슬러리관련기술을다수보유 가장많은특허를보유하고있는케이씨텍의 3 극패밀리수가 0 건으로다국적으로시장을확보 109

118 CMP 슬러리 하기위한해외출원이미흡한것으로보이는반면, AIR PRODUCTS AND CHEMICALS 는 24 건으로다국적시장을확보 대만기업인 TAIWAN SEMICONDUCTOR MANUFACTURING 가확보한특허의피인용지수가 0.75 로가장높게나타나기술의파급성이높은원천기술을다수보유하고있는것으로분석됨 110

119 전략제품현황분석 [ 주요출원인의출원현황 ] 주요출원인 국가 주요 IP시장국 ( 건수 %) 한국미국일본유럽 IP시장국종합 3극패밀리수 ( 건 ) 피인용지수 주력기술분야 주식회사케이씨텍 한국 % 0% 0% 0% 한국 구리배리어층연마용 CMP 슬러리 AIR PRODUCTS AND CHEMICALS 미국 % 58% 16% 0% 미국 TSV CMP 슬러리 TAIWAN SEMICONDUCTOR MANUFACTURING 대만 % 93% 0% 0% 미국 CMP 슬러리입자분쇄 CABOT MICROELECTRONICS 삼성전자 미국한국 % 32% 26% 11% % 41% 6% 0% 미국 한국 게르마늄, 안티몬, 텔루륨, 몰리브덴등합금함유표면연마가능 CMP 슬러리실리콘을포함하지않는유기막연마용 CMP 슬러리 APPLIED MATERIALS 미국 % 0% 0% 0% 미국 0 0 코발트층연마용 CMP 슬러리 제일모직 한국 % 0% 0% 0% 한국 구리연마용 CMP 슬러리 SINMAT 미국 % 60% 0% 20% 미국 실리콘카바이드표면연마용 CMP 슬러리 ROHM AND HAAS ELECTRONIC MATERIALS 미국 % 50% 8% 0% 미국 류테늄, 구리연마용 CMP 슬러리 FUJIFILM PLANAR SOLUTIONS 미국 % 50% 17% 25% 미국 선택적실리콘질화물 (SiN) 막연마가능 CMP 슬러리 111

120 CMP 슬러리 (5) 국내출원인동향 국내출원인동향을살펴보면대기업은케이씨텍의출원건수가가장높게나타났으며, 중소기업에서는솔브레인주식회사의출원건수가높게나타남 대기업의주요출원인은대기업의주요출원인은 케이씨텍, 제일모직, 삼성전자등이있으며, 중소기업의주요출원인은 ( 주 ) 엠에스머트리얼즈, 주식회사지아이에프, 엘티씨에이엠주식회사등이주요출원인인것으로나타남 기업이외의주요출원인을살펴보면포항산업과학연구원등이활발한연구개발을하고있으며, 대학의경우에는한양대학교, 고려대학교, 영남대학교, 서울대학교등다양한대학교에서연구 개발을하고있는것이특징으로분석됨 [ 국내주요출원인의출원현황 ] 112

121 전략제품현황분석 5. 중소기업환경 가. 중소기업경쟁력 CMP 슬러리분야의중소기업경쟁력은세리아, 실리카, 옥사이드, 텅스텐등다양한기술분류 에서경쟁력을갖추고있음 [ CMP 슬러리분야중소기업현황 ] 제품분류주요품목및기술대기업중소기업 중소기업 참여영역 중소기업 참여정도 세리아 고상법세리아, 액상법세리아, 초임계법세리아 - 케이씨텍, 솔브레인, 동진쎄미켐 고상법세리아, 액상법세리아, 초임계법세리아 실리카 흄드 (Fumed) 실리카, 콜로이달 (Colloidal) 실리카 삼성 SDI 에이스나노켐, 동우화인켐 흄드 (Fumed) 실리카, 콜로이달 (Colloida l) 실리카 옥사이드 SiO2 박막용, TEOS 박막용, SiN4 박막용, STI 공정용, ILD 공정용 - 케이씨텍, 솔브레인, 동진쎄미켐, SiO2 박막용, TEOS 박막용, SiN4 박막용, STI 공정용, ILD 공정용 텅스텐 W 박막용고선택비슬러리, W 박막용저선택비슬러리, Via 공정용 BC, DC 막질용 삼성 SDI 동우화인켐 W 박막용고선택비슬러리, W 박막용저선택비슬러리, Via 공정용 BC, DC 막질용 구리 Cu bulk 슬러리, Cu barrier 슬러리, CU damascene 공정용 - LTCAM Cu bulk 슬러리, Cu barrier 슬러리, CU damascene 공정용 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 113

122 CMP 슬러리 나. 중소기업기술수요 CMP 슬러리분야중소기업의기술수요를파악하기위하여중소기업기술수요조사및중소기업 청 R&D 신청과제 (2013~2015 년 ) 를분석한결과아래표의내용과같은수요들이있는것으로분 석 [ CMP 슬러리분야과제신청현황및수요조사결과 ] 전략제품기술분류관심기술 소자분리를위한산화막 Slurry Abrasive Particle 종류, 입자합성및분산기술 CMP 슬러리 공정 CMP 슬러리재생기술 114

123 전략제품현황분석 다. 중소기업핵심기술 (1) 데이터기반요소기술발굴 CMP 슬러리기술의특허및논문데이터검색을통해도출된유효데이터를대상으로데이터마이닝기법 (Scientometrics 기법 ) 을통해클러스터링된키워드의연관성을바탕으로요소기술후보군을도출 CMP 슬러리기술의특허및논문유효데이터를기반으로키워드클러스터링을통하여 9개의요소기술후보군을도출 제품별 dataset 구축 : CMP 슬러리기술관련특허 / 논문데이터를추출하여노이즈제거후제품별 dataset 구축 1차클러스터링 : 키워드맵을통한고빈도키워드확인-빈도수 (tf-idf) 10) 가상위 30% 에해당하는키워드를대상으로 1차추출 2차클러스터링 : 1차클러스터링에서추출된고빈도키워드사이에서고연관도키워드를 2차추출 ( 고연관도기준은연관도수치 11) 가 2이상인클러스터로제한 ) 다음그림은키워드간연관네트워크를시각화한것으로, 각키워드를나타내는원과키워드간의연관도를나타내는직선으로구성 각키워드가특허와논문중어느데이터에서도출되었는지원의색으로구분하였으며, 키워드로도출된클러스터는황색음영으로표시 키워드를나타내는원은고빈도의키워드일수록원의크기가크게표현되며, 연관도를나타내는선은키워드사이의연관도수치가높을수록굵게표현 CMP 슬러리전략제품의특허 논문유효데이터에대하여키워드클러스터링결과를기반으로요소기술도출 데이터기반의요소기술도출은키워드클러스터링을통해도출된요소기술에대하여전문가의검증및조정을통하여요소기술을도출 10) 빈도수 (tf-idf) : 각키워드가출현되는특허또는논문수를의미 11) 연관도수치 : 두개이상의키워드사이의특허또는논문수를의미 115

124 CMP 슬러리 [ CMP 슬러리기술분야키워드클러스터링 ] [ CMP 슬러리기술분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 CMP slurry, injection 4~7 1. Device for the injection of CMP slurry 2. METHOD AND DEVICE FOR THE INJECTION OF CMP SLURRY 클러스터 02 CMP slurry, metal 6~8 1. Organic Film CMP Slurry Composition and Polishing Method Using Same 2. CMP slurry composition for forming metal wiring line 클러스터 03 CMP slurry, high 4~7 1. Method for preparing cerium oxide powder using organic solvent and CMP slurry comprising the same 116

125 전략제품현황분석 No 주요키워드연관도수치관련특허 / 논문제목 2. FINE CERIUM OXIDE POWDER AND PREPARING METHOD THE SAME AND CMP SLURRY COMPRISING THE SAME 클러스터 04 CMP slurry, fine 4~7 1. CMP slurry and method for polishing semiconductor wafer using the same 2. CERIUM OXIDE POWDER FOR ONE-COMPONENT CMP SLURRY, PREPARATION METHOD THEREOF, ONE-COMPONENT CMP SLURRY COMPOSITION COMPRISING THE SAME, AND METHOD OF SHALLOW TRENCH ISOLATION USING THE SLURRY 클러스터 05 CMP slurry, planarization 4~7 1. Copper CMP slurry composition 2. CMP slurry for polymeric interlayer dielectric planarization 클러스터 06 CMP slurry, copper 7~9 1. CMP SLURRY COMPOSITION FOR POLISHING COPPER, AND POLISHING METHOD USING SAME 2. CMP Slurry Composition for Copper Damascene Process 3. CMP Slurry Composition for Barrier Polishing for Manufacturing Copper Interconnects, Polishing Method Using the Composition, and Semiconductor Device Manufactured by the Method 클러스터 07 CMP slurry, wafer 4 1. CMP slurry particle breakup 2. CMP slurry for metallic film, polishing method and method of manufacturing semiconductor device 클러스터 08 CMP slurry, particle 5 1. CMP SLURRY RECYCLING SYSTEM AND METHODS 2. Adjuvant for CMP slurry 1. System and method for scrubbing CMP slurry systems 클러스터 09 CMP slurry, rate 4~7 1. CMP slurry composition for tungsten 2. CLOSED-LOOP CONTROL OF CMP SLURRY FLOW 클러스터 10 CMP slurry, flow 4~7 1. ULTRASONIC FILTRATION FOR CMP SLURRY 2. Method and apparatus for real-time measurement of trace metal concentration in chemical mechanical polishing (CMP) slurry 117

126 CMP 슬러리 [ CMP 슬러리분야데이터기반요소기술 ] No 요소기술명키워드 요소기술 01 Abrasive Particle 종류, 입자합성및분산기술 particle synthesis, dispersion 요소기술 02 Impurity 및 Abrasive Particle Filtration 기술 impurity, filtration 요소기술 03 연마입자분산제및 ph 제어첨가제기술 dispersing agent, additives 요소기술 04 Abrasive Particle 합성및 Slurry 내분산기술 synthesis, slurry, dispersion 요소기술 05 Metal CMP 용 Chemical 첨가제활용기술 metal, chemical, additives 요소기술 06 ECMP 용 Electrolyte 첨가제기술 electrolyte, additives 요소기술 07 Impurity 및 Abrasive Particle Filtration 기술 impurity, filtration 요소기술 08 CMP 슬러리재생기술 CMP, recycling 요소기술 09 Abrasive Particle 평가기술 abrasive particle, monitoring 118

127 전략제품현황분석 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ CMP 슬러리분야요소기술도출 ] 분류요소기술출처 Abrasive Particle 종류, 입자합성및분산기술 특허 / 논문클러스터링. 전문가추천 슬러리기계적특성 Abrasive Particle 평가기술 특허 / 논문클러스터링, 전문가추천 Impurity 및 Abrasive Particle Filtration 기술 특허 / 논문클러스터링 Abrasive Particle 합성및 Slurry 내분산기술 특허 / 논문클러스터링, 전문가추천 금속 CMP 용 Chemical 첨가제활용기술 특허 / 논문클러스터링, 전문가추천 슬러리화학적특성 연마입자분산제및 ph 제어첨가제기술 특허 / 논문클러스터링, 전문가추천 ECMP 용 Electrolyte 첨가제기술 특허 / 논문클러스터링 Impurity 및 Abrasive Particle Filtration 기술 특허 / 논문클러스터링 슬러리재생 CMP 슬러리재생기술 특허 / 논문클러스터링, 전문가추천 119

128 CMP 슬러리 (3) 핵심기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심기술선정위원회를통하여중소기업에적합한핵심기술선정 핵심기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업적합성 (10) 을고려하여평가 [ CMP 슬러리분야핵심기술 ] 분류핵심기술개요 Abrasive Particle 종류, 입자합성및 분산기술 소자분리용산화막 CMP 슬러리의연마재종류, 입자합성및분산기술 슬러리기계적 특성 Abrasive Particle 평가기술 연마입자의신장탄성률등을측정하여입자물성을평가하는기술 Abrasive Particle 합성및 Slurry 내 분산기술 배선형성용메탈 CMP 슬러리의연마입자의합성및슬러리내분산기술 슬러리화학적 특성 금속 CMP 용 Chemical 첨가제 활용기술 배선형성용메탈 CMP 슬러리의화학적첨가제활용기술 연마입자분산제및 ph 제어첨가제 기술 소자분리용산화막 CMP 슬러리의연마입자분산제및 ph 제어첨가제기술 슬러리재생 CMP 슬러리재생기술 CMP 공정중사용된 CMP 슬러리를재사용하기위한회수및재생기술 120

129 전략제품현황분석 6. 기술로드맵기획 가. CMP 슬러리기술로드맵 121

130 CMP 슬러리 나. 연구개발목표설정 [ CMP 슬러리분야핵심기술연구목표 ] 분류핵심기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 슬러리 기계적 특성 Abrasive Particle 종류, 입자합성및분산기술 Sphericity 입자균일성향상 Abrasive Particle 평가기술 직경 (nm) Scratch 및 defect 감소 Abrasive Particle 합성및 Slurry 내 분산기술 분산안정성 Monodispersed abrasive particle 형성 슬러리 화학적 특성 금속 CMP 용 Chemical 첨가제 활용기술 Within wafer non uniformity (%) 웨이퍼평탄도 향상 연마입자분산제및 ph 제어첨가제기술 Removal rate (nm) 연마효율증대 슬러리 재생 CMP 슬러리재생 기술 불순물정도 (ppb) 슬러리사용량 절감 122

131 전략제품현황분석 다. 핵심기술심층분석 Abrasive Particle 종류, 입자합성및분산기술 기술개발 필요성 CMP Slurry 의입자크기와입자형상등은연마율에큰영향을주기때문에소자 분리용산화막 CMP 슬러리의연마재종류, 입자합성및분산기술개발필요 여러논문, 보고서의실험결과를보면 CMP Slurry 입자크기의증가에따라연마율은증가하고, 비구형입자인경우에연마속도는더욱현저한증가를보이는등연마재입자의형상은연마슬러리로적용될때 CMP 연마속도에미치는영향에매우중요한인자임을알수있음 기술개발전략 따라서 CMP 최적화공정의많은실험적연구를통해입자합성및분산기술을확 보할수있을것으로판단됨 또한산업구조상본기술은수요처 ( 대기업 )- 기술개발처 ( 중소기업 ) 으로상생의산업 생태계가구축이선결되어야사업화가능한기술개발및실제사업화가될수있 을것으로판단됨 No 명칭 출원인 1 연마입자의제조방법, 화학적기계적연마슬러리및그제조방법 주식회사케이씨텍 2 연마제1 입자및연마제2 입자를포함하는 CMP 슬러리조성물 주식회사케이씨텍 3 향상된연마선택비와분산안정성을갖는 CMP 슬러리 제일모직주식회사 관련특허현황 연마입자, 그제조방법및화학적기계적연마슬러리의제조방법화학기계적연마장비의패드클리닝및슬러리분산장치높은선택비를가지며분산안정성이우수한화학기계적연마슬러리조성물산화세륨연마입자및그제조방법과 CMP용슬러리조성물및그제조방법과이들을이용한기판연마방법화학적-기계적평탄화방법에서사용하기위한비-구형연마제입자를갖는슬러리조성물균일한큰입자를갖는화학적기계적연마에유용한콜로이달실리카슬러리및그제조방법 주식회사케이씨텍주식회사엘지실트론주식회사동진쎄미켐삼성전자주식회바스프에스이에이스하이텍주식회사 10 CMP 슬러리용입자및그제조방법페로코포레이션 적용가능분야 관련기업 CMP 공정 국내 : 제일모직, 케이씨텍, 네패스, 동진세미켐등 국외 : Cabot, Fujimi, JSR, Sumitomo, EKC 등 123

132 CMP 슬러리 연마입자분산제및 ph 제어첨가제기술 기술개발 필요성 CMP Slurry 의입자크기와입자형상등은연마율에큰영향을주기때문에소자 분리용산화막 CMP 슬러리의연마입자분산제및 ph 제어첨가제기술개발이 필요 CMP 공정에서 Slurry의안정성은매우중요하고이는기본적인특성, 즉연마입자의종류에따른연마량, 연마입자크기에따른연마량, 연마입자농도에다른연마량,,pH에따른연마량, 각종첨가제에따른연마량또는각각의조합에따른연량등에대한충분한자료의축정을통한접근이필요함 기술개발전략 따라서최적화공정의많은실험적연구를통해기술을확보할수있을것으로알려 짐 12) 아울러산업구조상본기술은수요처 ( 대기업 )- 기술개발처 ( 중소기업 ) 으로상생의산 업생태계가구축이선결되어야사업화가능한기술개발및실제사업화가될수 있을것으로판단됨 No 명칭 출원인 1 기계적인물성이약한절연막질에대한고단차연마평탄화슬러리및첨가제조성물 주식회사케이씨텍 관련특허현황 2 반도체연마슬러리용첨가제및그조성물 솔브레인주식회사 3 CMP용화학적첨가제및이를포함한연마용슬러리와이의제조방법및기판연마방법 주식회사케이씨텍 4 화학및기계적연마용슬러리의화학첨가제정인 5 다기능성첨가제를포함하는화학적기계적연마용슬러리솔브레인주식회사 적용가능분야 CMP 공정 관련기업 국내 : 제일모직, 케이씨텍, 네패스, 동진세미켐등 국외 : Cabot, Fujimi, JSR, Sumitomo, EKC 등 12) S. I. Lee, H. S. Park and H. C. Sohn, Semiconductor Monthly Korea, Jun., 2003, No.184, p

133 전략제품현황분석 Abrasive Particle 합성및 Slurry 내분산기술 기술개발 필요성 CMP Slurry 의입자크기와입자형상등은연마율에큰영향을주기때문에배선 형성용메탈 CMP 슬러리의연마입자의합성및슬러리내분산기술개발이필요 여러논문, 보고서의실험결과를보면 CMP Slurry 입자크기, 연마재입자의형 상, Slurry 의안정성등은 CMP 연마속도에미치는영향에매우중요한인자임을 알수있음 기술개발전략 따라서 CMP 최적화공정의많은실험적연구를통해입자합성및분산기술을확 보할수있을것으로판단됨 또한산업구조상본기술은수요처 ( 대기업 )- 기술개발처 ( 중소기업 ) 으로상생의산업 생태계가구축이선결되어야사업화가능한기술개발및실제사업화가될수있 을것으로판단됨 관련특허현황 No 명칭 출원인 1 구리화학적기계적평탄화를위한, 콜로이드성입자, 고분자전해질및이온성첨가제를사용하는부동태화필름 바스프에스이 특성의제어 2 분산안정성이향상된금속배선 CMP용전구체및슬러리조성물 제일모직주식회사 3 분산안정성을개선한금속배선연마용 CMP 슬러리 제일모직주식회사 4 분산안정성이우수한텅스텐금속막연마용화학-기계적연마슬러리조성물 주식회사동진쎄미켐 5 분산안정성을개선한금속배선연마용 CMP 슬러리 제일모직주식회사 연마입자를고정한연마패드를사용한구리의화학적-기 미크론 6 계적 연마방법과 구리층을 화학적-기계적으로 연마하는 테크놀로지, 인코포 용액 레이티드 7 산성영역에서콜로이달실리카의분산안정성과과산화물주식회사산화제의분해안정성이뛰어난구리배선용화학-기계적동진쎄미켐연마슬러리조성물 8 분산안정성이우수한텅스텐금속막연마용화학-기계적연마슬러리조성물 주식회사동진쎄미켐 적용가능분야 CMP 공정 관련기업 국내 : 제일모직, 케이씨텍, 네패스, 동진세미켐등 국외 : Cabot, Fujimi, JSR, Sumitomo, EKC 등 125

134 CMP 슬러리 Metal CMP 용 Chemical 첨가제활용기술 기술개발 필요성 CMP Slurry 의안정성등은 CMP 연마율에큰영향을주기때문에배선형성용메 탈 CMP 슬러리의화학적첨가제활용기술개발이필요 여러논문, 보고서의실험결과를보면 CMP Slurry 입자크기, 연마재입자의형 상, Slurry 의안정성등은 CMP 연마속도에미치는영향에매우중요한인자임을 알수있음 기술개발전략 따라서 CMP 최적화공정의많은실험적연구를통해입자합성및분산기술을확 보할수있을것으로판단됨 또한산업구조상본기술은수요처 ( 대기업 )- 기술개발처 ( 중소기업 ) 으로상생의산업 생태계가구축이선결되어야사업화가능한기술개발및실제사업화가될수있 을것으로판단됨 No 명칭 출원인 1 구리화학적기계적평탄화를위한, 콜로이드성입자, 고분자전해질및이온성첨가제를사용하는부동태화필름특성의제어 바스프에스이 2 기계적인물성이약한절연막질에대한고단차연마평탄화슬러리및첨가제조성물 주식회사케이씨텍 관련특허현황 3 CMP용화학적첨가제및이를포함한연마용슬러리와이의제조방법및기판연마방법 주식회사케이씨텍 4 화학및기계적연마용슬러리의화학첨가제정인 5 다기능성첨가제를포함하는화학적기계적연마용슬러리솔브레인주식회사 적용가능분야 CMP 공정 관련기업 국내 : 제일모직, 케이씨텍, 네패스, 동진세미켐등 국외 : Cabot, Fujimi, JSR, Sumitomo, EKC 등 126

135 전략제품현황분석 CMP 슬러리재생기술 기술개발 필요성 무기계폐수인 CMP 슬러리는다양한강산, 강알칼리, SiO 2, Cu, Al 2 O 3 등의다양한무기입자를함유하고있는전자산업의주요폐수중하나로폐수내함유된화학물질및중금속배출로인한심각한환경오염방지를위해 CMP 공정중사용된 CMP 슬러리를재사용하기위한회수및재생기술개발이필요 기술개발전략 기술적으로는 CMP 폐수분리및농축기술, 폐수내 CMP 슬러리회수및재생기술을확보해야할것으로판단되며, 또한각공장공정별맞춤형멤브레인소재기술등이세부적요소기술로확보되어야할것임 사업화대상이삼성, SK하이닉스등반도체제조사의개별공정인바본 CMP 슬러리재생기술개발도각공정별맞춤형으로개발되어야할것으로판단됨 또한사업특성상 수요처 ( 대기업 )- 기술개발처 ( 중소기업 ) 상생의생태계구축도필요함 No 명칭출원인 1 알루미나계화학적기계적연마슬러리의재생방법영남대학교 관련특허현황 2 CMP 슬러리재생장치및 CMP 슬러리재생방법반도체처리공정, 특히화학적기계적연마공정에서발생한 3 슬러리함유폐수를재생하는방법및장치화학적및기계적평탄화에사용된물및슬러리연마재 4 의회수방법및장치화학적및기계적평탄화를위해사용된물및슬러리연 5 마재료의입자재생방법및장치 니혼캐보트마이크로일렉트로닉스하이큐-팩토리루시드트리트먼트시스템스루시드트리트먼트시스템스 6 폐CMP 슬러리의재생방법 NIPPON VALQUA 7 화학적기계연마방법및장치및화학적기계연마가공에있어슬러리재생방법및장치 DOI TOSHIRO 8 화학기계연마용슬러리의재생장치및재생방법 NEC 적용가능분야 반도체 CMP 공정폐수처리 관련기업 국내 : LH 이노베이션등 국외 : Pall, Ovio, Gore 등 127

136 CMP 슬러리 Abrasive Particle 평가기술 기술개발 필요성 CMP Slurry 의입자크기와입자형상등은연마율에큰영향을주기때문에연마 입자의신장탄성률등을측정하여입자물성을평가하는기술개발이필요 CMP 공정에서 Slurry의안정성은매우중요하고이는기본적인특성, 즉연마입자의종류에따른연마량, 연마입자크기에따른연마량, 연마입자농도에다른연마량,,pH에따른연마량, 각종첨가제에따른연마량또는각각의조합에따른연량등에대한충분한자료의축정을통한접근이필요함 기술개발전략 따라서최적화공정의많은실험적연구를통해기술을확보할수있을것으로알려 짐 13) 아울러산업구조상본기술은수요처 ( 대기업 )- 기술개발처 ( 중소기업 ) 으로상생의산 업생태계가구축이선결되어야사업화가능한기술개발및실제사업화가될수 있을것으로판단됨 No 명칭출원인 1 자외선분광기를이용한 CMP 용슬러리의고형물농도및 1 차입자크기의측정방법 제일모직주식회사 관련특허현황 2 화학기계연마슬러리의지립의영률측정방법화학기계연마장치의화학기계연마특성평가방법, 3 재료표면의면내균일성평가방법및슬러리약액의특성추정방법화학기계적평탄화 (CMP) 슬러리의품질관리프로세스 4 및입자사이즈분포측정시스템 HITACHI CHEMICAL EBARA PARTICLE MEASURING SYST 적용가능분야 CMP 공정 관련기업 국내 : 제일모직, 케이씨텍, 네패스, 동진세미켐등 국외 : Cabot, Fujimi, JSR, Sumitomo, EKC 등 13) S. I. Lee, H. S. Park and H. C. Sohn, Semiconductor Monthly Korea, Jun., 2003, No.184, p

137 포토레지스트 전략제품현황분석

138

139 포토레지스트 정의및범위 포토레지스트 (Photoresist) 는빛에의한광학적반응을이용하여포토마스크에미리그려진미세패턴을반도체웨이퍼상에형상화할수있는감광성화학약품 포토레지스트는파장에대응한제품별로 KrF포토레지스트, ArF포토레지스트, 이머전 ArF포토레지스트, EUV포토레지스트등으로분류정부지원정책 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 국내ㆍ외수요기업과의연계강화를통해국제경쟁력을갖춘장비ㆍ재료선도기업육성에역점을두어진행 원천기술확보및국산장비ㆍ재료의신뢰성향상을위한 반도체장비원천기술상용화개발사업, 성능평가협력사업, 수급기업투자펀드사업 등의상생협력프로그램을통해육성 중소기업시장대응전략 강점 (Strength) 반도체및디스플레이분야의기술적우수성확보 국내대형반도체업체의존재로인해평가가용이기회 (Opportunity) 국산소재산업육성에대한정부의의지 국내시장의규모로인한시장접근성우수 높은고분자소재의원천기술에대한개발의지 약점 (Weakness) 소재합성관련원천기술의부족 생산관련업체부족및생태계미성숙 신규업체제품의이용에대한생산업체의불신위협 (Threat) 선발업체의기술력을앞세운공세적가격경쟁 기존업체의기술적으로높은진입장벽 중소기업의시장대응전략 산학계, 정부와의컨소시엄을통한소재합성원천기술개발로소재국산화및글로벌경쟁력확보 정부지원정책을바탕으로독립적기술로드맵구축에따른항공우주, 의약등신산업분야창출 기술적으로전자업체-소재업체간의협력을바탕으로시장을리딩할수있는시스템구축

140 핵심기술로드맵

141 전략제품현황분석 1. 개요 가. 정의및필요성 포토레지스트 (Photoresist, 감광약품 ) 는설계된반도체회로를웨이퍼위에전사시킬때빛의 조사여부에따라달리감응함으로서미세회로패턴을형성할수있도록하는노광공정용감광 재료로반도체 Chip 및 TFT-LCD 등에사용 포토레지스트를일정한파장의빛에노출시키면그부위에광화학반응이일어나포토레지스트의화학적성질이특정용매에대한용해도가급격하게변화하여빛을받은부분만녹거나 (Positive Photoresist) 빛을받지않은부분만녹아 (Negative Photoresist) 조사된패턴을남게해주는화학재료 * 출처 : 산업통상자원부 [ 감광제의기능 ] 반도체용포토레지스트는여러가지성분이녹아있는용액의형태로되어있는데이를공정에 적용하기위해서는일정한양의용액을실리콘웨이퍼위에회전도포 (Spin-coating) 하여수백 나노에서수마이크론단위의얇은필름을형성시킨후노광기로옮겨사용 포토레지스트의기본구성은 Resin( 내식각성 ), Solvent(Covering), Sensitizer( 광반응 ), Additive ( 특성개선 ) 로포토레지스트의각소재의역할은다음과같음 133

142 포토레지스트 Resin( 수지 ): 포토레지스트의주성분을이루는고분자화합물 감광제 : 빛을받으면성질이변하는화합물 PAC: Photo Active Compound (i-line Resist에주로사용 ) PAG: Photo Acid Generator ( 화학증폭용 Resist에주로사용 ) 첨가제 : 성능향상을위해첨가 Solvent( 용제 ): 액체상태로유지하기위하여고형분을녹이는용제 포토레지스트의일반적인요구특성은소재가빛에대해얼마나민감한지정도, 노광부와비 노광부의용해성에대한차이, 반도체의생산성과관련된포토스피드, 열적안정성, 접착성, 식각공정시저항성등이요구 포토레지스트는리소그라피 (Lithography-반도체노광공정기술 ) 공정에서사용되는감광성수지이며반도체디바이스의미세화를가능케해주는재료 포토레지스트는반도체소자의미세화에의해사용되는광원의파장이점차로단파장으로변화중 광원에따라포토레지스트의종류가결정되며, 점차로투과도가좋으며, 식각시저항성, 감도및해상도가좋은포토레지스트에대한요구가증대 134

143 전략제품현황분석 나. 범위 (1) 제품분류관점 포토레지스트는파장에대응한제품별로 KrF 포토레지스트, ArF 포토레지스트, 이머전 ArF 포토레 지스트, EUV 포토레지스트등으로분류 포토레지스트는지금까지미세화를위한노광장치의광원의단파장화와새로운리소그라피프 로세스의도입에대응해왔으며, 현재더블패터닝이나 EUV 노광이라는차세대리소그라피기 술에대응하는포토레지스트의개발도착실하게진행되고있음 노광광원의단파장화는 436nm(g선 ), 365nm(i선 ), 248nm(KrF 엑시머레이저 ), 193nm(ArF 엑시머레이저 ) 로진행되어온가운데일반적으로포토레지스트같은유기재료는노광파장이짧아지면광흡수가크게됨. 그결과, 노광시에포토레지스트중에형성되는광학상이변형되는바, 이것을피하기위하여노광파장에맞추어베이스수지를개량하고광흡수를억제해왔음 g선이나 i선의세대에서는베이스수지에노보락수지를사용했고, KrF 세대에서는노광파장에서의노보락수지의광흡수가크게되기때문에이파장에서의광흡수가작은폴리히드로키시스틸렌 (PHS) 수지로바꾸었음. 이어서 ArF세대에서는베이스수지중의벤젠고리의노광파장에서광흡수가크게되어벤젠고리를포함하지않는아크릴수지를베이스로아다만탄등의환상화합물 ( 지환식화합물 ) 을측면사슬에붙여서에칭내성을높인수지를사용하고있음 노광이 KrF 엑시머레이저로바뀌는때에는포토레지스트에또하나큰변경을추가한가운데광강도의저하에대응하기위하여종래의포토레지스트와비교하여고감도의화학증폭형포토레지스트를도입함. 이포토레지스트는광산발생제 (PGA) 로부르는감광제를포함, 빛을흡수하면감광제가산을발생하고, 이산이촉매가되어베이크공정에서수지측면고리에차례로수산기가생기고포토레지스트가알카리현상액에녹게됨. 하나의산이촉매되어복수의수산기생성반응을요구하기때문에포토레지스트의고감도산과고콘트라스트화가가능하게됨. 화학증폭형포토레지스트는 ArF세대에서도계속채용되고있음 KrF 포토레지스트는현재사용되고있는포토레지스트중가장큰비중을차지 이전에사용하던 g-line 또는 i-line 용포토레지스트에비해서변화된광강도의저하에따른손실 을보완하기위하여감도가높은화학증폭형레지스트를도입 135

144 포토레지스트 KrF용레지스트는광산발생제 (PAG, Photoacid Generator) 인감광제를포함하고있기때문에빛의흡수시산을발생시키고발생된산이촉매작용을통하여유기수지표면에수산기를발생시켜알칼리현상액에녹도록설계 하나의산이촉매작용을통하여다량의수산기생성반응을유도하므로 KrF용레지스트는고감도및고대조비를갖는공정이가능하게되어미세패턴화를지원가능 ArF 포토레지스트공정은패턴미세화에따라서점차로 KrF 포토레지스트보다비중이커지고있으며, 특히 Immersion 포토레지스트는그중요도가더욱증대 DRAM 기준의 30 nm공정을본격적으로도입하고있는생산업체에서는 ArF Immersion에대한수요가매우큰비중을차지 ArF 포토레지스트는특히제조공정중의불순물관리가가장중요한부분이며, 모든제조공정은클린룸에서진행하며반드시수십 nm 수준의필터를이용하여여과과정을거쳐서생산 미세패턴화를성공적으로구현하기위해서는 LWR(Line Width Roughness) 를억제해야하며그편차는 10% 이내로조절 식각시공정마진을확보하기위해서식각내성을증가시킬수있는조성의변조를동반하고있으며, 패턴유지를위해도포두께가점차로감소되며, 보다넓은식각공정도를확보하기위해서하드마스크공정이도입 EUV용포토레지스트는삼성전자와 SK하이닉스의주문에의해네덜란드의 ASML 사가가장먼저상용화장비를도입하므로조만간현실화될가능성이매우높은상황 EUV 포토레지스트를사용한노광공정은 20 nm 급공정을위한가장적격한노광공정으로판단 현재 1 nm 급수준의광원으로는 EUV, X-ray, EB(Electron Beam) 등이연구진행중 포토레지스트는매우미세한패턴에사용되므로약액속의먼지나금속등의불순물을가급적 감소시켜야하므로제조에서불순물을혼입되지않도록원료, 프로세스관리시행 [ 적용기술에따른분류 ] 대분류중분류세부제품 포토레지스트 KrF 포토레지스트 ArF 포토레지스트 (immersion 포함 ) EUV 포토레지스트 248 nm 파장용레지스트 193 nm 파장용레지스트 1 nm 급파장용레지스트 136

145 전략제품현황분석 (2) 공급망관점 포토레지스트의공급망관점에따른주요제품분류는크게 KrF 포토레지스트, ArF 포토레지스트, EUV 포토레지스트로나뉘어짐 KrF 포토레지스트에속하는제품및기술로는 PFOS/PFAS 미사용, High Aspect Ration 구현, 열적안정성구비, GKR, TDUR 등이있음 ArF 포토레지스트에속하는제품및기술로는 High Throughput, 고해상도의넓은공정윈도우, GAR, FAiRS, TARF 등이있음 EUV 포토레지스트에속하는제품및기술로는고정밀해상도, 넓은공정윈도우, FEP, FEN 등이있음 [ 공급망단계별주요제품분류 ] 대분류중분류세부제품및기술 포토레지스트 KrF 포토레지스트 ArF 포토레지스트 PFOS/PFAS 미사용, High Aspect Ration 구현열적안정성구비, GKR, TDUR High Throughput, 고해상도의넓은공정윈도우 GAR, FAiRS, TARF EUV 포토레지스트 고정밀해상도, 넓은공정윈도우, FEP, FEN 137

146 포토레지스트 2. 산업환경분석 가. 산업특징및구조 (1) 산업의특징 반도체제조공정에서는노광공정이매우중요하며, 공정핵심소재인포토레지스트는생산원가에서차지하는비중도높아기술, 산업적인중요도가높음 반도체종류에따라차이는있으나메모리반도체생산공정에서원가비중이 30%, 생산시간은 60% 정도로매우큰비중을차지 반도체소자는집적도및성능개선을위해서끊임없이패턴의미세화를추구하며, 관련공정 이가능한광원의개발과함께포토레지스트도개발중 포토레지스트시장의주공급자는주로산업초기부터시장에참여해온유기감광재료업체들 로편성되어있으며, 해당되는업체로는 JSR, TOK, Dow Chemical, Shinetsu, Sumitomo Chemical, AZEM 등으로구성 메모리반도체분야는삼성전자, SK 하이닉스가세계시장점유율 70% 이상을차지하는등 세계최고수준의기술력을보유하고있기때문에포토레지스트공급업체들은한국시장을 중요하게생각 포토레지스트는반도체산업이외에디스플레이, LED 산업등에서사용되며, 디스플레이 (LCD) 산업에서비교적큰수요를보유 LCD 산업에서요구되고있는포토레지스트의제품그레이드는반도체공정에서요구되는 그레이드 (Grade) 보다낮지만물량면에서는매우큰시장을형성 (2) 산업의구조 주요전방산업은반도체, 디스플레이, LED 이며이외에리드프레임의정밀가공에서도포토에칭 공정에중요하게사용되고있으며, 다층인쇄회로기판 (PCB) 의정밀제조에서도사용 138

147 전략제품현황분석 [ 포토레지스트중심의산업구조 ] 후방산업포토레지스트전방산업 원부재료, 장비및설비 KrF, ArF & ArF immersion 및 EUV 용포토레지스트 디스플레이, 반도체, LED 나. 경쟁환경 포토레지스트시장의주요공급자는일본의 Sumitomo Chemical, TOK, Shin-Etsu, JSR, FFEM 및미국의 Dow Chemical로구성 TOK공업은반도체 LCD 핵심소재인포토레지스트와미세가공분야선두권업체로반도체최첨단공정인불화아르곤 (ArF) 노광 ( 리소그래피 ) 용포토레지스트는세계 1위유지. 최신반도체공정인 ArF 엑침용노광기의포토레지스트를상용화했고, 곧상용화될차세대자외선 (EUV) 노광공정용포토레지스트도개발완료단계 JSR은광파장 248nm(KrF), 193nm(ArF), Immersion 노광용의고해상도 Photoresist, 고감도부터초고해상도까지폭넓은용도의 g선, i선 Photoresist등다양한요구에대응할수있는제품라인업구축. 2015년벨기에반도체연구기관 IMEC와 EUV 노광공정용 PR 양산을위해합작사 `EUV RMQC(Resist Manufacturing&Qualification Center NV)`를설립 국내공급자는지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이여의 치못했으나 2012 년이후점차로시장에진입하기시작 동진쎄미켐은국내유일의반도체포토공정용 PR(Photo Resist) 소재업체로 KrF PR이주력상품인가운데차세대 Bottom up 방식포토공정인 DSA 포토레지스트도개발진행중. DSA(Directed Self Assembly) 는고분자공중합체로특성이다른고분자간에자발적으로패터닝이되는구조 금호석유화학나노미터 (nm) 단위의반도체미세회로제작에필수적인고성능 193nm 파장용포토레지스트 (ArF Photoresist) 기술과세계 6위의생산능력을확보하고있음. 2015년에는비메모리임플란트포토레지스트기술개발및상업화에성공했고현재고집적평면반도체의한계를극복할수있는 3D 낸드메모리용포토레지스트제품을중점적으로연구중. 이를통해삼성전자와 SK하이닉스등고객과의협력관계를확대예정 139

148 포토레지스트 [ 제품분류별경쟁자 ] 구분 경쟁환경 제품 KrF 포토레지스트 ArF 포토레지스트 (immersion 포함 ) EUV 포토레지스트 주요품목및기술 PFOS/PFAS 미사용 high aspect ration 구현열적안정성구비 GKR TDUR high throughput 고해상도넓은공정윈도우 GAR, FAiRS TARF 고정밀해상동넓은공정윈도우 FEP, FEN 해외기업 Fuji Film TOK JSR Sumitomo DOW corning Shinetsu AZEM Fuji Film TOK JSR Sumitomo DOW corning Shinetsu AZEM Fuji Film TOK JSR Sumitomo DOW corning Shinetsu AZEM 국내기업 동진쎄미켐금호석유화학 동진쎄미켐금호석유화학 - 다. 전후방산업환경 전방산업인반도체, 디스플레이제조사의신규투자, 공장증설, 가동률등 CAPEX Plan 에영 향을받으며, 소자의적층구조등제조기술의변화및웨이퍼, 유리기판의면적증가에따라 재료소모량이증가 한편, 반도체소자업체는그동안적기투자를통해기술개발속도를가속시키는정책을사용하 였으나최근에는대규모시설투자에대한원가부담으로인하여원가절감위주의 R&D 에집중 하는경향 미세화를위해 ArF 에서 ArF immersion 으로다시 double patterning 으로진화중이며, 이후기술 로서 EUV 기술이 IMEC 등의컨소시엄중심으로개발진행중 또한, 미세화공정기술과 High-k/Metal Gate 등신개념공정기술을확보하기위해기술경쟁중 140

149 전략제품현황분석 3. 시장환경분석 가. 세계시장 2000년대부터진행되고있는반도체공정미세화에따른반도체노광공정에서 ArF 포토레지스트, KrF 포토레지스트등의소요량이증가하여연평균 5.9% 의성장률보이며, 2020년에는 86억 57백만달러로성장예상 일반적으로포토레지스트는반도체포토공정에필름과같은역할을하는물질로광원에따라각기다른포토레지스트를사용하는가운데현재주로미세공정에는 ArF 포토레지스트가사용되나 3D NAND의경우계단공정등에 KrF 포토레지스트가이용 특히 3D NAND가 32단에서 48단으로변화하면서계단공정이 50% 이상증가하게되어해당 KrF 포토레지스트사용량은증가할전망 [ 포토레지스트의세계시장규모및전망 ] 구분 ( 단위 : 백만달러, %) CAGR ('13~'15) 세계시장 6,486 6,868 7,273 7,702 8,175 8, * 자료 : SEMI( ), 반도체분야중소기업로드맵 (2015) 자료재인용하여전망치추정 나. 국내시장 국내포토레지스트의시장을이끌고있는산업으로는반도체분야를중심으로디스플레이 (LCD) 분야로국내포토레지스트의시장규모는 2015 년기준 971 억원가량으로추산되며, 연평균 성장률은 2.5% 로 2020 년에는 1,098 억원규모의시장으로성장할것으로예상 [ 포토레지스트의국내시장규모및전망 ] 구분 ( 단위 : 억원, %) CAGR ('13~'15) 국내시장 ,020 1,045 1,071 1, * 자료 : SEMI( ), 반도체분야중소기업로드맵 (2015) 자료재인용하여전망치추정 141

150 포토레지스트 다. 무역현황 포토레지스트로품목단위의무역현황을살펴본가운데수출량은증가하는반면에수입량은감소하는추세 포토레지스트의수출현황은 11년 2억 1,051만달러에서 15년 3억 9,231만달러로증가하였으며, 수입현황은 11년 5억 6,139만달러에서 15년 3억 5,246만달러로감소하며무역수지적자폭이감소하는추세 최근 5년 ( 11년- 15년) 연평균성장률을보면수출금액은 16.8% 로증가하였으며, 수입금액은 -11.0% 로감소한것으로나타남 무역특화지수는 11 년 (-0.45) 부터 15 년 (0.05) 까지증가한것으로나타나점차국내기업의수 출량이증가하는것으로나타났음 [ 포토레지스트관련무역현황 ] 구분 ( 단위 : 천달러 ) CAGR ('11~'15) 수출금액 210, , , , , % 수입금액 561, , , , , % 무역수지 -350, , , ,658 39,848 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 142

151 전략제품현황분석 4. 기술환경분석 가. 기술개발트렌드 투과도가좋고에칭저항성, 감도및해상도가우수한포토레지스트의필요성증가 포토레지스트 (Photoresist) 란노광에의한광화학적반응을이용하여포토마스크의미세패턴을반도체웨이퍼상에형상화할수있는감광성화학약품의지칭을의미 포토레지스트는빛에반응하여특성을변화시키는유기물로서반도체공정을위해소자패턴을구현하기위하여웨이퍼상에도포되는감광성물질을의미 노광시사용되는광원과패턴구조를형성하는방법에따라서다양한포토레지스트가존재하며, 노광후현상액에의해불필요한부분이제거되는특성을보유 포토레지스트는반도체를제조하기위해반드시거쳐야하는리소그래피공정에사용되는감광성유기물을의미 Lithography( 리소그래피 ) 란 litho( 돌 ) 과 graph( 그림, 글자 ) 를뜻하는말의합성어로석판화를그리는것으로어원을찾을수있으며, 오늘날의오프셋인쇄의근본이된기술로서, 1798년독일의 A. 제네펠더가발명 자료 : 포토레지스트기술동향, 한국과학기술정보연구원 [ 포지티브와네가티브포토레지스트 ] 143

152 포토레지스트 현재반도체생산에사용되는포토리소그래피기술은미세회로를구현하고자하는기판위에포토레지스트가코팅된상태에서마스크를통해노광하는방식으로진행 1813년 N. Niepce (France) 가감광성을갖는천연 Asphalt를최초로발견하였음. 이후 1959년 Minsket al. 이위개념의합성감광성재료로폴리비닐계물질을논문에발표하였으며, Eastman Kodak사가 (KPR: kodak photo resist) 감광성수지의개발상품명을포토레지스트로명명하여이후포토레지스트라는용어가사용 포토레지스트는빛에의해경화되며, 노광후현상액에의해노출된부분이제거되는포지티브포토레지스트와반대로노출되지않은부분이제거되는네거티브포토레지스트로분류 포토레지스트재료는광및전자선으로반응하는고분자화합물로 KrF 포토리소그래피용, ArF 포토리소그래피용, F2 포토리소그래피용, EB-EUV 리소그래피용레지스트가존재 KrF 레지스트는제막및현상을수계에서하는포지티브형레지스트가합성되어높은에칭저항성, 고해상도를보유 KrF 레지스트는스핀코팅후의고분자박막의건조, 가열시에탈탄산반응이일어나수불용의레지스트막이생성됨. 노광후의가열로보호기의탈리가일어나므로알칼리현상으로포지티브형패턴이됨 ArF 리소그래피용레지스트재료는방향환을함유하지않는것이기본구조로노보르넨 / 무수말레인산공중합체, 노보르넨 / 말레인산에스테르공중합체, 지환구조를측쇄로하는메타크릴레이트고분자를중심으로하는레지스트재료가포지티브및네가티브형으로연구중 EB-EUV 리소그래피용레지스트는화학증폭형및비화학증폭형의 EB 레지스트가연구진행중으로고감도, 고플라스마에칭내성을보유 자료 : JSR( 주 ) [ 노광파장의변천과포토레지스트의개발 ] 144

153 전략제품현황분석 웨이퍼위보다미세한회로패턴형성을위한포토레지스트물질의진화 포토레지스트는반도체소자의미세화에의해사용되는광원의파장이점차로단파장으로변화 포토레지스트는 436 nm의 g-line에서 365 nm의 i-line을거쳐서최근에는 248 nm 의 KrF 엑시머레이저와 193 nm의 ArF 엑시머레이저로광원이진화 나노크기의반도체소자의미세화가더욱진전됨에따라서포토레지스트는자외선을이용한 EUV 까지광원의단파장화가진행 광원에따라포토레지스트의종류가결정되며, 점차로투과도가좋으며, 식각시저항성, 감도및해상도가좋은포토레지스트에대한요구가증대 자료 : JSR( 주 ) [ 노광파장의변천과포토레지스트의개발 ] KrF 포토레지스트는현재사용되고있는포토레지스트중가장큰비중을차지 이전에사용하던 g-line 또는 i-line 용포토레지스트에비해서변화된광강도의저하에따른손실 을보완하기위하여감도가높은화학증폭형레지스트를도입 KrF 용레지스트는광산발생제 (PAG, Photoacid Generator) 인감광제를포함하고있기때문에빛의흡수시산을발생시키고발생된산이촉매작용을통하여유기수지표면에수산기를발생시켜알칼리현상액에녹도록설계 하나의산이촉매작용을통하여다량의수산기생성반응을유도하므로 KrF 용레지스트는고감도및고대조비를갖는공정이가능하게되어미세패턴화를지원가능 145

154 포토레지스트 ArF 포토레지스트공정은패턴미세화에따라서점차로 KrF 포토레지스트보다비중이커지고있으며, 특히 Immersion 포토레지스트는그중요도가더욱증대 DRAM 기준의 30nm 공정을본격적으로도입하고있는생산업체에서는 ArF Immersion에대한수요가매우큰비중을차지 ArF 포토레지스트는특히제조공정중의불순물관리가가장중요한부분이며, 모든제조공정은클린룸에서진행하며반드시수십 nm 수준의필터를이용하여여과과정을거쳐서생산 미세패턴화를성공적으로구현하기위해서는 LWR(Line Width Roughness) 를억제해야하며그편차는 10% 이내로조절 식각시공정마진을확보하기위해서식각내성을증가시킬수있는조성의변조를동반하고있으며, 패턴유지를위해도포두께가점차로감소되며, 보다넓은식각공정도를확보하기위해서하드마스크공정이도입 32 nm 공정을위해서는더블패터닝, ArF immersion 공정, 마스크리스리소그래피등과함 께미세패터닝을위해고려되고있으며이중에서하드마스크를이용한더블패터닝공정이 가장현실적으로부상 EUV용포토레지스트는삼성전자와 SK하이닉스의주문에의해네덜란드의 ASML 사가가장먼저상용화장비를도입하므로조만간현실화될가능성이매우높은상황 EUV 포토레지스트를사용한노광공정은 20 nm 급공정을위한가장적격한노광공정으로판단 현재 1 nm 급수준의광원으로는 EUV, X-ray, EB(Electron Beam) 등이연구진행중 146

155 전략제품현황분석 자료 : 인사이트세미콘 [ EUV 포토공정개념도 ] 147

156 포토레지스트 나. 주요업체별기술개발동향 (1) 해외업체동향 포토레지스트주요해외제조업체로는 JSR, TOK, 다우케미칼, 신에츠등이있으며, 현재가장미세한광원파장용제품인 193nm용 ArF 포토레지스트및이머전 ArF 포토레지스트등의제품을생산중임 포토레지스트산업은반도체소자의기술개발조건에매우민감하게적용 반도체소자는집적도및성능개선을위해서끊임없이패턴의미세화를추구하며, 관련공정이가능한광원의개발과함께포토레지스트도개발중 상기한이유로포토레지스트시장의주공급업체는반도체산업의초기부터시장에진입한업체들을중심으로시장을선도 Fuji Film, TOK, JSR, Sumitomo, DOW corning, Shinetsu, AZEM 등의주요업체가포토레지스트관련소재, 제품서비스를공급중 248nm 노광용 PFOS/PFAS 미사용, high aspect ration 구현, 열적안정성구비, GKR, TDUR이가능한 CDN/STB/ 기간망 KrF 포토레지스트제품생산중 193nm 노광용 high throughput, 고해상도, 넓은공정윈도우, GAR, FAiRS, TARF이가능한 ArF 포토레지스트 (immersion 포함 ) 제품생산중 1nm 이하급광원용고정밀해상동, 널은공정윈도우, FEP, FEN이가능한 EUV 포토레지스트제품생산중 포토레지스트시장은반도체패턴미세화공정기술의진보와함께발전하고있지만현시점에최대수익을얻는반도체소자및 LCD 의수요에가장크게의존 LCD 산업에서요구되고있는포토레지스트의제품그레이드는반도체공정에서요구되는그레이드보다낮지만물량면에서는매우큰시장을형성 따라서공급자들의주력으로는 KrF 포토레지스트를중심으로시장을유지 148

157 전략제품현황분석 자료 : 화학공학의이론과응용, 한국화학공학회, 김상태 [ KrF Resin Structure Design ] 포토레지스트시장은반도체소자의지속적인시장수요가존재하므로그규모는점차로확대 성장할것으로전망되고있으며, 포토레지스트의종류별로는현재의 KrF 포토레지스트에서점 차로 ArF Dry & Immersion 포토레지스트로변화할것으로전망 현재 KrF 포토레지스트시장은일본의 TOK 와 Shin-Etsu 가시장의 60% 이상을미국의 Dow 가 25% 정도를확보하고있어 3 개의공급사가전체시장의 4/5 이상을장악 ArF 포토레지스트는주로 40 nm 이하의미세공정기술을적용한반도체소자의제작에사용 ArF 포토레지스트는 ArF Immersion 포토레지스트와함께동반성장중 패턴의미세화가더욱진행될수록 ArF Immersion 포토레지스트는그수요가더욱증가할것으로예상 ArF 포토레지스트는현재 Immersion과 dry 제품 2가지를합쳐서 KrF 포토레지스트시장의절반규모를차지하고있으나금액기준으로는 ArF 포토레지스트의시장이더욱빨리성장하는것으로판단 149

158 포토레지스트 자료 : 화학공학의이론과응용, 한국화학공학회, 김상태 [ Matacrylate type ArF resist (193 nm) ] 서브 20 나노미터크기의광원을이용한소자제작을위한광원은 EUV, X-ray lithography 또는전자빔리소그래피를활용하여이용가능 현재기술로는서브 20 나노미터크기의패터닝을위해서는 EUV 노광법이가장가능성이높은것으로판단 세계적으로메모리시장에서최강자인삼성전자로의공급이기술력을반증하는것으로인정 되고있어삼성전자로의공급을대부분의포토레지스트생산업체가심혈을기울여추진중 (2) 국내업체동향 포토레지스트주요국내제조업체로는동진세미켐, 이엔에프테크놀러지, 테크노세미켐, 금호석유화학등이있으며, ArF포토레지스트및이머전 ArF포토레지스트등의제품을국산화하여생산중 국내제조업체는지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이여의치못했으나 2012년이후점차로시장에진입하기시작 국내기업은 KrF, ArF 포토레지스트관련생산, 판매한실적은보유하고있으나신기술인 EUV 관련실적은없는것으로조사되어 EUV 포토레지스트의경우아직국내기업이점유또는진 출하지못한분야로분석 150

159 전략제품현황분석 국내기업은 248nm 노광용 PFOS/PFAS 미사용, high aspect ration 구현, 열적안정성구비, GKR, TDUR이가능한 CDN/STB/ 기간망 KrF 포토레지스트제품을동진세미캠, 금호석유화학등에서생산중 193nm 노광용 high throughput, 고해상도, 넓은공정윈도우, GAR, FAiRS, TARF이가능한 ArF 포토레지스트 (immersion 포함 ) 제품은금호석유화학, 동진세미캠만이제품의일부분을 SK 하이닉스에공급하는정도에그치고있으나국내시장이상당한규모를가지고있으므로조만간더많은생산업체들이시장에참여할것으로예측 151

160 포토레지스트 다. 기술인프라현황 중소기업청에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록지원하는연구장비공동활용지원사업을운영 중소기업청산하중소기업기술정보진흥원을통해대학및연구기관이보유한연구장비를소프트웨어의중소기업공동활용을지원하여국가장비활용도제고와중소기업기술경쟁력향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 * 자료 : 중소기업기술정보진흥원 [ 연구장비공동활용지원절차 ] 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형 실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수 있는인프라를제공 152

161 전략제품현황분석 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소 중견기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 자료 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교육을진행 153

162 포토레지스트 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 자료 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 154

163 전략제품현황분석 라. 특허동향분석 (1) 연도별출원동향 포토레지스트기술의지난 7년 ( 10~ 16) 간출원동향 14) 을살펴보면 12년을기점으로증가추세에서감소추세로전환되었으나, 최근까지출원건이유지되고있어지속적으로포토레지스트관련기술개발활발 각국가별로살펴보면미국과일본은 12년도까지점차로증가추세를보이다가그이후감소하는경향을보이고있으며, 한국과유럽은 2010년이후로소폭감소추세 국가별출원비중을살펴보면미국이전체의 55.4% 로최대출원국으로포토레지스트기술에 리드하고있는것으로나타났으며, 한국은 24.9%, 일본 15.7%, 유럽은 4.0% 순으로나타남 [ 포토레지스트분야연도별출원동향 ] 14) 특허출원후 1 년 6 개월이경과하여야공개되는특허제도의특성상실제출원이이루어졌으나아직공개되지않은미공개데이터가존재하여 2015, 2016 년데이터가적게나타나는것에대하여유의해야함 155

164 포토레지스트 (2) 국가별출원현황 한국의출원현황을살펴보면 13년까지지속적인증가추세를나타냈으나, 14년도이후로소폭감소세로전환되는경향 한국의내국인출원비중은 13년도까지계속증가하는추세를나타내고있으며, 외국인출원비중이내국인보다지속적으로커서국내시장에대한외국인의선호도가높은것으로추정 미국의출원현황은 13 년까지소폭증가추세를보이다가 14 년도이후에소폭감소하는추세를 보이고있으며, 미국의내국인출원비중이지속적으로증가하고있고해마다내국인비중이 높게나타나고있어내국출원인의미국내출원이활발 일본의출원현황은 13 년까지소폭증가하다가이후소폭감소하는추세를보이고있으며외국 인의출원비중이내국인대비월등히높은것이특징 유럽의경우해마다소폭출원건수가증감을반복하는경향을보이고있으며, 유럽내외국출 원인의비중이높지않은것이특징 [ 국가별출원현황 ] 156

165 전략제품현황분석 (3) 투입기술및융합성분석 포토레지스트분야의투입기술을확인하기위하여특허분류코드인 IPC Code 15) 를통하여살펴본결과포토레지스트분야의가장높은 IPC는 H01L 기술분야가 1244건으로가장많이차지하고있으며, 이어서 G03F가 821건, C08G가 41건으로다수를차지 이외에 C08F 31건, C11D 31건, G02F 31건, G06F 24건, C09J 21건, C07C 14건 H05K 14 건순으로기술이투입되어있어포토레지스트분야에다양한기술이융합되어존재 더불어해당 IPC 의특허인용수명을살펴보면 C08G 기술분야의수명이 9 년으로가장긴것으로 나타났으며, H01L 기술분야는 6 년으로가장짧은것으로분석 [ 포토레지스트분야상위투입기술 ] IPC 기술내용특허인용수명 (TCT) 16) H01L 반도체장치 ; 다른곳에속하지않는전기적고체장치 6 년 G03F 사진제판법에의한요철화또는패턴화표면의제조 5 년 C08G 탄소 - 탄소불포화결합만이관여하는반응이외의반응으로얻는고분자화합물 8 년 C08F 탄소 - 탄소불포화결합만이관여하는반응으로얻어지는고분자화합물 9 년 C11D 세정성조성물 ; 세정제로서단일물질의사용 ; 비누또는비누제조 ; 수지비누 ; 글리세롤의회수 8 년 G02F 광의강도, 색, 위상, 편광또는방향의제어를위한장치또는배치 6 년 G06F 전기에의한디지털데이터처리 6 년 C09J 접착제 ; 일반적인접착방법 ( 비기계적요소 ); 달리분류되지않는접착방법 ; 물질의접착제로서의사용 9 년 C07C 비환화합물또는탄소환화합물 9 년 H05K 인쇄회로 ; 전기장치의상체또는구조적세부, 전기부품의조립체의제조 6 년 15) 전세계적으로통용되고있는국제특허분류 (IPC: International Patent Classification) 를통해특허정보기술분야에서공지기술을조사할수있으며, 기술및권리정보에용이하게접근가능 16) 특허인용수명지수는후방인용 (Backward Citation) 에기반한특허인용수명의평균, Q1, Q2( 중앙값 ), Q3 에대한통계값을제시함. 특히이와같이산출된 Q2 는 TCT(Technology Cycle Time, 기술순환주기또는기술수명주기 ) 라고부름 157

166 포토레지스트 투입기술이가장많은 H01L 분야와융합이높게이루어진기술은 G02F 분야로나타났으며, G01R, H01J 분야와도융합된기술의건수가높은것으로분석 이외에 G03F 분야와융합된기술은 C11D, C08F, C09D 분야와융합된기술이많은것으로나타났으며, C08G 분야와융합된기술은 C08L, C08F, C07C 기술로분석 [ 포토레지스트분야 IPC 기술및융합성 ] (4) 주요출원인분석 세계주요출원인을살펴보면주로일본및미국의출원인이다수의특허를보유하고있는것으로나타났으며, 화학, 소재분야의출원인이대부분 주요일본출원인을살펴보면 HITACHI CHEMICAL, FUJIFILM, ASAHI KASEI E MATERIALS, TOKYO ELECTRON 등화학, 소재전문기업이다수출원을하고있는것으로나타났으며, 이들일본출원인은주로일본본국에출원건수가높은것으로나타남 주요미국출원인을살펴보면 ROHM & HAAS ELECTRONIC MATERIALS, INTERNATIONAL BUSINESS MACHINES, MICRON TECHNOLOGY 등화학, 소재전문기업이다수출원을하고있는것으로나타났으며, 이들미국출원인은주로미국본국에출원건수가높은것으로나타남 한국출원인으로는삼성전자, 에스케이하이닉스등의기업이상위출원인으로나타나포토레지스트관련기술을다수보유 158

167 전략제품현황분석 가장많은특허를보유하고있는 OHM & HAAS ELECTRONIC MATERIALS의 3극패밀리수가 137건으로다국적으로시장을확보하며출원을하고있는것으로보이며, TAIWAN SEMICONDUCTOR MANUFACTURING은 0건으로다국적시장을확보하기위한해외출원이미흡 대만기업인 TAIWAN SEMICONDUCTOR MANUFACTURING 가확보한특허의피인용지수가 2.47 로가장높게나타나기술의파급성이높은원천기술을다수보유하고있는것으로분석됨 [ 주요출원인의출원현황 ] 주요출원인 국가 주요 IP시장국 ( 건수 %) 한국미국일본유럽 IP시장국종합 3극패밀리수 ( 건 ) 피인용지수 주력기술분야 ROHM & HAAS ELECTRONIC MATERIALS 미국 % 29% 31% 11% 일본 액침리소그래피용포토레지스트 TAIWAN SEMICONDUCTOR MANUFACTURING 대만 % 93% 0% 0% 미국 EUV 용포토레지스트 삼성전자 한국 % 58% 1% 0% 미국 나노패턴용포토레지스트 INTERNATIONAL BUSINESS MACHINES 미국 % 92% 0% 1% 미국 하부반사방지코팅 (NDBARC) 용포토레지스트 HITACHI CHEMICAL 일본 % 14% 76% 1% 일본 밀착성및내열충격성강화레지스트패턴용포토레지스트 FUJIFILM 일본 % 22% 50% 7% 일본 nm 이하미세패턴용포토레지스트 에스케이하이닉스 한국 % 54% 0% 0% 미국 포토레지스트현상액 ASAHI KASEI E MATERIALS 일본 % 2% 80% 0% 일본 고감도및고밀착포지티브형포토레지스트 TOKYO ELECTRON 일본 % 55% 5% 0% 미국 EUV 용광증감화학증폭형포토레지스트 MICRON TECHNOLOGY 미국 % 92% 3% 3% 미국 빈도체다이용인터커넥트구조체용포토레지스트 159

168 포토레지스트 (5) 국내출원인동향 국내출원인동향을살펴보면대기업은삼성전자의출원건수가가장높게나타났으며, 중소기업에서는엠코테크놀로지주식회사의출원건수가높게나타남 대기업의주요출원인은대기업의주요출원인은동우화인켐, 엘지디스플레이, 에스케이하이닉스, 동진쎄미켐, 제일모직, 엘지화학, 삼성디스플레이등이있으며, 중소기업의주요출원인은에스에프에이반도체, 영창케미칼주식회사, 주식회사코렉스, 엘티씨에이넴주식회사등이주요출원인인것으로나타남 기업이외의주요출원인을살펴보면한국과학기술원, 한국생산기술연구원, 한국기계연구원, 한국나노기술원, 한국세라믹기술원, 한국전자통신연구원, 전자부품연구원등이활발한연구개발을하고있으며, 대학의경우에는서강대학교, 연세대학교, 전남대학교, 한양대학교, 한국산업기술대학교, 광주과학기술원, 서울대학교, 성균관대학교등다양한대학교에서연구개발을하고있는것이특징으로분석됨 [ 국내주요출원인의출원현황 ] 160

169 전략제품현황분석 5. 중소기업환경 가. 중소기업경쟁력 포토레지스트분야의중소기업경쟁력은기술분류별로차이가있으나전체적으로참여규모와정 도가크지않은상황임 [ 포토레지스트분야중소기업현황 ] 제품분류주요품목및기술대기업중소기업 중소기업 참여영역 중소기업 참여정도 KrF 포토레지스트 PFOS/PFAS 미사용 high aspect ration 구현열적안정성구비 GKR TDUR 금호석유화학 동진쎄미켐 PFOS/PFAS 미사용 high aspect ration 구현열적안정성구비 GKR TDUR ArF 포토레지스트 (immersion 포함 ) high throughput 고해상도넓은공정윈도우 GAR, FAiRS TARF 금호석유화학 동진쎄미켐 high throughput 고해상도넓은공정윈도우 GAR, FAiRS TARF EUV 포토레지스트 고정밀해상동 넓은공정윈도우 FEP, FEN 해당사항없음해당사항없음해당사항없음 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 161

170 포토레지스트 나. 중소기업기술수요 포토레지스트분야의중소기업의기술수요를파악하기위하여중소기업기술수요조사및중소기 업청 R&D 신청과제 (2013~2015 년 ) 를분석한결과아래표의내용과같은수요들이있는것으로 분석됨 [ 포토레지스트분야과제신청현황및수요조사결과 ] 전략제품기술분류관심기술 포지티브형 PR 포지티브형 PR Resin 기술 포토레지스트 네거티브형 PR 네거티브형레지스트용수지관련기술 162

171 전략제품현황분석 다. 중소기업핵심기술 (1) 데이터기반요소기술발굴 포토레지스트기술의특허및논문데이터검색을통해도출된유효데이터를대상으로데이터마이닝기법 (Scientometrics 기법 ) 을통해클러스터링된키워드의연관성을바탕으로요소기술후보군을도출 포토레지스트기술의특허및논문유효데이터를기반으로키워드클러스터링을통하여 12개의요소기술후보군을도출 제품별 dataset 구축 : 포토레지스트기술관련특허 / 논문데이터를추출하여노이즈제거후제품별 dataset 구축 1차클러스터링 : 키워드맵을통한고빈도키워드확인-빈도수 (tf-idf) 17) 가상위 30% 에해당하는키워드를대상으로 1차추출 2차클러스터링 : 1차클러스터링에서추출된고빈도키워드사이에서고연관도키워드를 2차추출 ( 고연관도기준은연관도수치 18) 가 2이상인클러스터로제한 ) 다음그림은키워드간연관네트워크를시각화한것으로, 각키워드를나타내는원과키워드간의연관도를나타내는직선으로구성 각키워드가특허와논문중어느데이터에서도출되었는지원의색으로구분하였으며, 키워드로도출된클러스터는황색음영으로표시 키워드를나타내는원은고빈도의키워드일수록원의크기가크게표현되며, 연관도를나타내는선은키워드사이의연관도수치가높을수록굵게표현 포토레지스트기술전략제품의특허 논문유효데이터에대하여키워드클러스터링결과를기반으로요소기술도출 데이터기반의요소기술도출은키워드클러스터링을통해도출된요소기술에대하여전문가의검증및조정을통하여요소기술을도출 17) 빈도수 (tf-idf) : 각키워드가출현되는특허또는논문수를의미 18) 연관도수치 : 두개이상의키워드사이의특허또는논문수를의미 163

172 포토레지스트 [ 포토레지스트분야키워드클러스터링 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 photoresist, pattern, semiconductor [ 포토레지스트기술분야주요키워드및관련문헌 ] 4~7 1. METHODS OF MANUFACTURING PHOTOMASKS, METHODS OF FORMING PHOTORESIST PATTERNS AND METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES 2. Methods of forming patterns using photoresist polymers and methods of manufacturing semiconductor devices 3. Method for forming a photoresist pattern on a semiconductor wafer using oxidation-based catalysis 클러스터 02 photoresist, pattern, semiconductor, mask 6~8 1. Method of manufacturing semiconductor device including sequentially forming first and second mask material layers and forming a dotted photoresist pattern on the second mask material layer 2. Coating composition for DUV filtering, method of forming photoresist pattern using the same and method of fabricating semiconductor device by using the method 클러스터 photoresist, 4~7 1. Method for manufacturing semiconductor structure through 164

173 전략제품현황분석 No 주요키워드연관도수치관련특허 / 논문제목 03 layer forming an additional layer inside opening of a photoresist layer 2. SEMICONDUCTOR APPARATUS AND METHOD OF REMOVING PHOTORESIST LAYER ON SUBSTRATE 클러스터 04 photoresist, removing 4~7 1. METHODS OF MANUFACTURING SEMICONDUCTOR DEVICES USING A COMPOSITION FOR REMOVING PHOTORESIST AND METHODS OF REMOVING PHOTORESIST FROM A SEMICONDUCTOR SUBSTRATE 2. STRIPPING COMPOSITIONS FOR REMOVING PHOTORESISTS FROM SEMICONDUCTOR SUBSTRATES 클러스터 05 photoresist, anti-reflec tive 4~7 1. Photoresist composition for fabricating probe array, method of fabricating probe array using the photoresist composition, composition for photosensitive type developed bottom anti-reflective coating, fabricating method of patterns using the same and fabricating method of semiconductor device using the same 클러스터 06 photoresist, film 7~9 1. PHOTORESIST FILM PLACING METHOD, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, ELECTRO-OPTICAL DEVICE, AND ELECTRONIC DEVICE 2. Method for exposing photoresist film of semiconductor device 클러스터 07 photoresist, wafer 6~8 1. Method for forming a photoresist pattern on a semiconductor wafer using oxidation-based catalysis 2. Stripping compositions for cleaning ion implanted photoresist from semiconductor device wafers 클러스터 08 photoresist, exposure 7~8 1. METHOD OF FORMING A SEMICONDUCTOR STRUCTURE INCLUDING TWO PHOTORESIST EXPOSURE PROCESSES FOR PROVIDING A GATE CUT 2. Amplification method for photoresist exposure in semiconductor chip manufacturing 클러스터 09 photoresist, substrate 4~7 1. Method of applying photoresist to a semiconductor substrate 2. MODEL OF DEFINING A PHOTORESIST PATTERN COLLAPSE RULE, AND PHOTOMASK LAYOUT, SEMICONDUCTOR SUBSTRATE AND METHOD FOR IMPROVING PHOTORESIST PATTERN COLLAPSE 클러스터 10 photoresist, flow 6~8 1. Semiconductor die and method of forming sloped surface in photoresist layer to enhance flow of underfill material between semiconductor die and substrate 2. Semiconductor Die and Method of Forming Sloped Surface in Photoresist Layer to Enhance Flow of Underfill Material Between Semiconductor Die and Substrate 165

174 포토레지스트 [ 포토레지스트분야데이터기반요소기술 ] No 요소기술명키워드 요소기술 01 포지티브형 PR Resin 기술 positive, resin 요소기술 02 포지티브형 PR PAC/PGA 기술 positive, photoacid generator 요소기술 03 포지티브형 PR 용해억제제기술 positive, dissolution inhibitor 요소기술 04 포지티브형 PR 가교제기술 positive, crosslinking agent 요소기술 05 네거티브형 PR Resin 기술 negative, resin 요소기술 06 네거티브형 PR PAC/PGA 기술 negative, photoacid generator 요소기술 07 네거티브형 PR 용해억제제기술 negative, dissolution inhibitor 요소기술 08 네거티브형 PR 가교제기술 negative, crosslinking agent 요소기술 09 Dry ArF 용 Resist 기술 ArF dry, resist 요소기술 10 Immersion ArF 용 Resist 기술 Arf immersion, resist 요소기술 11 차세대 Resist 기술 next generation, resist 166

175 전략제품현황분석 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ 포토레지스트분야요소기술도출 ] 분류요소기술출처 포지티브형 PR Resin 기술 특허 / 논문클러스터링, 전문가추천 포지티브형 PR 포지티브형 PR PAC/PGA 기술 포지티브형 PR 용해억제제기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 포지티브형 PR 가교제기술 특허 / 논문클러스터링 네거티브형 PR Resin 기술 특허 / 논문클러스터링, 전문가추천 네거티브형 PR 네거티브형 PR PAC/PGA 기술 네거티브형 PR 용해억제제기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링 네거티브형 PR 가교제기술 특허 / 논문클러스터링 Dry ArF 용 Resist 기술 특허 / 논문클러스터링, 전문가추천 광원별 PR Immersion ArF 용 Resist 기술 특허 / 논문클러스터링, 전문가추천 차세대 Resist 기술 특허 / 논문클러스터링, 전문가추천 167

176 포토레지스트 (3) 핵심기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심기술선정위원회를통하여중소기업에적합한핵심기술선정 핵심기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업적합성 (10) 을고려하여평가 [ 포토레지스트분야핵심기술 ] 분류핵심기술개요 포지티브형 PR Resin 기술 포지티브형레지스트용수지관련기술 포지티브형 PR 포지티브형 PR PAC/PGA 기술 포지티브형레지스트용광산발생제관련기술 포지티브형 PR 용해억제제기술 포지티브형레지스트용용해억제제관련기술 네거티브형 PR 네거티브형 PR Resin 기술 네거티브형 PR PAC/PGA 기술 네거티브형레지스트용수지관련기술 네거티브형레지스트용광산발생제관련기술 Dry ArF 용 Resist 기술 ArF 용 PR 합성기술 광원별 PR Immersion ArF 용 Resist 기술 Wet/H2O 용 Resist 및 High Fluid 용 Resist 합성기술 차세대 Resist 기술 EUV 용 PR 및 DPT 용 PR, 나노임플란트용 PR, 폴리머스탬프용 PR 등차세대 PR 합성기술 168

177 전략제품현황분석 6. 기술로드맵기획 가. 포토레지스트기술로드맵 169

178 포토레지스트 나. 연구개발목표설정 [ 포토레지스트분야핵심기술연구목표 ] 분류핵심기술기술요구사항 포티지브형 PR 포지티브형 PR Resin 기술 포지티브형 PR PAC/PGA 기술 포지티브형 PR 용해억제제기술 포지티브형 레지스트용수지 포지티브형 레지스트용광산 발생제 포지티브형 레지스트용 용해억제제 연차별개발목표 1차년도 2차년도 3차년도 포지티브형포지티브형포지티브형레지스트용레지스트용레지스트용수지수지개발수지양산성능개선 포지티브형 포지티브형 포지티브형 레지스트용 레지스트용 레지스트용 광산발생제 광산발생제 광산발생제 개발 성능개선 양산 포지티브형 포지티브형 포지티브형 레지스트용 레지스트용 레지스트용 용해억제제 용해억제제 용해억제제 개발 성능개선 양산 최종목표포지티브형레지스트용수지개발포지티브형레지스트용광산발생제개발포지티브형레지스트용용해억제제개발 네거티브형 PR 네거티브형 PR Resin 기술네거티브형 PR PAC/PGA 기술 네거티브형레지스트용수지 네거티브형레지스트용광산발생제 네거티브형레지스트용수지개발 네거티브형레지스트용광산발생제개발 네거티브형레지스트용수지성능개선 네거티브형레지스트용광산발생제성능개선 네거티브형레지스트용수지양산 네거티브형레지스트용광산발생제양산 네거티브형레지스트용수지개발 네거티브형레지스트용광산발생제개발 Dry ArF 용 Resist 기술 Dry ArF 용합성 Dry ArF 용 포토레지스 트개발 Dry ArF 용 포토레지스 트성능개선 Dry ArF 용 포토레지스 트양산 Dry ArF 용 포토레지스트 개발 광원별 PR Immersion ArF 용 Resist 기술 Immersion ArF 용합성 Immersion ArF 용 포토레지스 Immersion ArF 용 포토레지스 Immersion ArF 용 포토레지스 Immersion ArF 용 포토레지스트 트개발 트성능개선 트양산 개발 차세대 Resist 기술 차세대포토레지스트합성 차세대포토레지스트개발 차세대포토레지스트성능개선 차세대포토레지스트양산 차세대포토레지스트개발 170

179 전략제품현황분석 TSV 용패키징소재

180

181 TSV 용패키징소재 정의및범위 TSV(Through Silicon Via) 란반도체 Chip 적층시 Chip들을수직관통하는 Via Hole을형성하여 Chip 간의전기적신호를전달하는패키지방식으로 Chip 간 Interconnection 감소로 High Density, Low Power, High Speed, Thinner Package 구현가능 Via 형성시기에따라 TSV는 Via First, Via Middle, Via Last, Si Interposer 등으로나눠지며각방식에따라 IDM(Memory, System IC), Foundry, OSAT, TSV 분야까지포함 정부지원정책 정부는반도체산업의고도화를위하여메모리반도체외에시스템반도체, 화합물반도체, 장비 재료산업의육성정책을적극추진 반도체산업부문에서기술개발, 인력양성, 시스템반도체및장비ㆍ재료산업육성등세부사업추진과더불어시스템IC 2010 사업의후속시스템반도체상용화기술개발 ( 시스템IC 2015) 사업의전략적추진으로시스템반도체분야글로벌역량을강화 (2014년, 산업통상자원부 ) 국내ㆍ외수요기업과의연계강화를통해국제경쟁력을갖춘장비ㆍ재료선도기업육성에역점을두어진행 원천기술확보및국산장비ㆍ재료의신뢰성향상을위한 반도체장비원천기술상용화개발사업, 성능평가협력사업, 수급기업투자펀드사업 등의상생협력프로그램을통해육성 중소기업시장대응전략 강점 (Strength) 반도체및디스플레이분야의기술적우수성확보 국내대형반도체업체의존재로인해평가용이기회 (Opportunity) 국산소재산업육성에대한정부의의지 국내시장규모로인한시장접근성우수 약점 (Weakness) 생산관련업체부족및생태계미성숙 신규업체제품의이용에대한생산업체의불신 TSV 기술설비투자미흡위협 (Threat) 선진해외업체의기술력을앞세운공세 기존업체의기술적으로높은진입장벽 중국업체들의저임금을통한낮은가격공세 중소기업의시장대응전략 국내반도체장비업체와패키징업체가협력을통한 TSV 용설비마련하여해외경쟁력확보 연구개발부분을영업과가까운부서에배치하는등조직을개편하여수요처의요구에밀착대응할 수있는개발시스템도입

182 핵심기술로드맵

183 전략제품현황분석 1. 개요 가. 정의및필요성 TSV(Through Silicon Via) 란반도체 Chip 적층시 Chip들을수직관통하는 Via Hole을형성하여 Chip 간의전기적신호를전달하는패키지방식 기존 Wire Bonding 방식은웨이퍼윗면에만입출력단자배치 (2D). TSV는관통전극형성을통해윗면뿐아니라밑면에도단자배치 (3D) Chip 간 Interconnection 감소로 High Density, Low Power, High Speed, Thinner Package 구현가능 Wire Bonding 방식은계단식의저층건물, TSV를이용한 Chip 적층은고속엘리베이터를이용한고층건물과유사 Toshiba는이미 2008년부터 CMOS Image Sensor에 TSV가적용된제품출시 SiP (System in Package) 에 TSV를적용하여 3D SiP를구현할경우가격측면에서 SiP의장점을유지하면서 SoC (System on Chip) 대비단점인성능을향상시키는것이가능 [ 와이어본딩을이용한반도체적층과 TSV 를이용한적층 ] 적층된웨이퍼간에최적화된신호의전송경로를제공하며, 와이어본딩영역이필요없어패 키지의경박단소화에가장유리 반도체공정은계속해서미세화되어가고있는가운데단일면적안에얼마나많은정보를저 장하는미세한셀을넣을수있느냐하는용량의확장은점점물리적한계에도달하였고, 이러 175

184 TSV 용패키징소재 한미세공정의한계를극복하고자 TSV( 실리콘관통전극 -Through Silicon Via) 패키징기술 주목받고있음. Chip 간 Interconnection 감소 : High Density, Low Power, High Speed, Thinner Package 22나노공정에서게이트지연시간은 0.5bps, 와이어배선지연시간은 2,000bps. TSV는배선관련 Chip간 Interconnection 감소 High Density: DRAM TSV의경우현재삼성전자가 32GB 개발완료. TSV PoP를이용하여 512GB까지패키징가능 Low Power: GDDR5는 1.5V를사용하는데반해최근발표된 SK하이닉스의 TSV DRAM (HBM) 은 1.2V사용 High Speed: 현재최고속 Memory인 GDDR5의 28GBps (7Gbps x 32 I/O) 대비 SK하이닉스 HBM의속도는 128GBps (1Gbps x 1,024 I/O) Thinner Package: Wire Bonding 적층시, Chip과 Wire 간간섭을막기위해필요한 Spacer의두께만큼 TSV 패키지의두께축소 SiP의단점보완 SiP (System in Package) 는여러개의반도체 Chip을한개의패키지에담는방식 SoC (System on Chip) 는웨이퍼레벨에서여러반도체의기능을한개의반도체에담는방식 SiP는 SoC대비생산원가, 테스트비용, 특허문제, 타디바이스와의통합면에서유리하나발열문제, 크기, 성능면에서는불리 SiP에 TSV를적용하여 3D SiP를구현할경우가격측면에서 SiP의장점을유지하면서단점인성능을향상시키는것이가능. 최단전송선로의구현으로각기능블록간최적화된 Interconnection 확보가가능하기때문 TSV 공정과정은다음과같음 Via Etch (Deep Reactive Ion Etching Vs UV Laser) 구리와실리콘간전기절연층 / 구리확산방지층 / Seed 접착층형성 구리전기도금 ( 가장고비용 ) Via 형성웨이퍼에 Carrier 웨이퍼접합 ( 수율을결정하는가장중요한공정 ) 웨이퍼 Thinning (Back grinding & CMP) 적층시 I/O 위치재배열을위한유전체층 / 금속배선층형성 적층을위한패드, 범프형성 176

185 전략제품현황분석 * 자료 : Applied Materials [ TSV 공정 ] 나. 범위 (1) 적용기술관점 적층방식에따라 3D 반도체를분류하면, 3D Si 적층 Vs 3D IC 적층이있는가운데최근에 는 3D IC 적층이대세로기술적미비로 3D Si 적층에는 10 년이상필요할듯 3D IC 적층에는동일 Chip 적층 ( 주로 Memory 반도체 ) 과이종 Chip 적층이있으며동일 Chip 적층이쉬워 TSV 를사용한제품의시장도입시기가빠를전망 TSV 를사용한이종 Chip 적층방식에는능동 Interposer 적층과수동 Interposer 적층이기술적난이도, 비용, 수율측면에서수동 Interposer 방식이유리 * 자료 : 교육부, 하이투자증권 [ 적층방식에따른 3D 반도체종류 ] 177

186 TSV 용패키징소재 * 출처 : 하이투자증권 [ 능동 Interposer 적층과수동 Interposer 적층 ] [ 적용기술에따른분류 ] 대분류중분류세부제품 TSV 동일 Chip 적층 ( 주로 Memory 반도체 ) 이종 Chip 적층 구분없음 능동 Interposer 적층 수동 Interposer 적층 (2) 공급망관점 Via 형성시기에따라 TSV 는 Via First, Via Middle, Via Last, Si Interposer 등으로나눠지며 각방식에따라 IDM(Memory, System IC), Foundry, OSAT, TSV 분야까지포함 TSV 형성시기에따른종류와사업주체 IDM, Foundry 사업영역 : FEOL 이전 TSV 형성, FEOL 직후 TSV 형성 IDM, Packaging 사업영역 : 웨이퍼백그라인딩직후 TSV 형성, BEOL 이후 TSV 형성 IDM, 독자사업영역 : TSV 실리콘 Interposer 사용방식 반도체전공정기술과패키징기술을모두보유중이며 Memory, System IC를모두생산하는 IDM (ex. 삼성전자 ) 이가장유리 178

187 전략제품현황분석 [ 공급망단계별주요제품분류 ] 대분류중분류세부제품 FEOL (IDM) (Foundry) Via First Via Middle CMOS 공정전 TSV 형성, 고온, 고민감성전공정을 TSV 가견뎌야하므로전기전도도가낮아제한적인터커넥션을가지는 Poly-Si 사용 고온전공정후 TSV 형성하므로구리등금속재사용가능. 후공정전에 Via 를형성하므로 TSV 깊이를낮게형성하는것이가능 표면경화 BEOL (IDM) (Packaging) Via Middle Via Last TSV 형성을위해서는웨이퍼후면그라인딩필요. 이방식은그라인딩후웨이퍼후면에쐐기형태의 TSV 를형성. 공정용이. 입출력단자가적은소자에효과적 후공정이후 TSV 형성. 깊은 TSV 필요. Via 크기가크고적인단자수의디바이스에유리 융합방식 (IDM) ( 독자사업 ) TSV Si Interposer TSV 를반도체칩이아닌다른웨이퍼 (Si Interposer) 에형성. AP, 메모리등이종의칩을적층시공정의복잡성때문에 Si Interposer 를이용하여 3 차원적으로결합하는방식 179

188 TSV 용패키징소재 2. 산업환경분석 가. 산업특징및구조 (1) 산업의특징 TSV 시장은반도체패턴미세화공정기술의진보와함께발전하고있지만현시점에최대수 익을얻는반도체소자의수요에가장크게의존 TSV 산업은반도체소자의기술개발조건에매우민감하게적용 반도체소자는집적도및성능개선을위해서끊임없이패턴의미세화를추구하며, 관련공정이 가능한광원의개발과함께 TSV 도개발중 상기이유로 TSV 시장의주공급업체는반도체산업의초기부터시장에진입한업체들을중심으로시장이편성될가능성이높음 특히반도체산업초기부터시장에참여해온유기감광재료관련업체들이시장에서주공급자가되며, 이러한공급자에는삼성전자, 덕산하이메탈, 케이씨텍, 솔브레인, 기가레인등으로구성 세계적으로메모리시장에서최강자인삼성전자로의공급이기술력을반증하는것으로인정되고있어삼성전자로의공급을대부분의 TSV 생산업체가심혈을기울여추진중 삼성전자ㆍ인텔ㆍSK하이닉스ㆍTSMC 등주요반도체업체들은차세대시장주도권을확보하기위해 3D 요소기술확보및상업화경쟁치열 (2) 산업의구조 후방산업은 TSV 관련소재, 원부재료, 장비및부대설비로구성되고, 주요전방산업은반도체 분야 ( 시스템반도체를넘어메모리반도체, 센서 ) 임 [ TSV 용패키징소재산업구조 ] 후방산업 TSV 전방산업 소재, 원부재료, 장비및설비 배선공정, 에칭공정, 패키징공정 메모리반도체, 로직소자, 시스템반도체, 반도체패키지 180

189 전략제품현황분석 나. 경쟁환경 IBM, Intel, AMD 등의 Logic Process Chip 생산업체들은빠른동작속도와낮은소비전력 달성을위해 TSV 패키징소재시장에참여중이며, Broadcom, Qualcomm, nvidia 등의팹 리스업체들도 TSV 를이용한제품출시 삼성, 도시바, SK하이닉스와같은메모리칩제작업체들도 DRAM, Flash Memory를 TSV 기술적용한제품개발중 도시바 (Toshiba) 의 TSV 기술은 CMOS Image Sensor에적용하여 2008년부터생산중이며, TSV 전극이붙은 Chip을제조하는것으로 Wafer 상태에서 Camera Module 부품의실장조립을가능하게함. 또한, TSV 기술의고성능화응용으로 3차원 Cell 적층기술 Bics (Bit-Cost Scalable:Si 기판위에 NAND Flash Memory Cell을종방향으로적층해올리는기술 ) 을발표. 삼성전자는 30나노급 4Gb DDR3를 4단적층한 32GB RDIMM 개발 (2011년) 하였고, 세계최초로 3차원 TSV 적층기술을적용해 64기가바이트 (GB) 차세대 D램모듈을양산 (2014년) 하기시작 SK하이닉스도 2015년 5월 TSV를활용한 1세대 HBM D램을양산하고 2세대 HBM D램기술개발을진행중, HBM( 고대역폭메모리, High Bandwidth Memory) D램은 TSV 기술을적용해 D램칩에 5천개이상의구멍을뚫고상하를연결해기존금선을이용한 D램패키지에비해데이터처리속도를혁신적으로이끈제품으로이제품은차세대그래픽장치 (GPU), 슈퍼컴퓨터, 서버, 네크워크기기등에채택되기시작했으며, 향후차세대초고성능컴퓨팅시스템에도필수적인제품 TSV 장비관련해외주요업체로는 TSMC, AMAT, TOK, Novellus, Lam Research 등의기 업으로구성 국내공급자들은지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이여의치못했으나 2015년이후점차로시장에진입하기시작 국내장비업체들중에는현재기가레인 (TSV DRIE Etcher), 쎄미시스코 (Via 고속측정장비 ), 테스 (TSV Passivation 장비 ), 에스티아이 (TSV Bumping Ball 형성장비 ) 등이각분야에서상용화에다소앞서있는듯 181

190 TSV 용패키징소재 [ 공급망분류별경쟁자 ] 구분 경쟁환경 공급망단계반도체소자 TSV 장비 TSV 재료 주요내용메모리용, 비메모리용적층용원재료 주요품목및기술 SoC, SIP 높은수울고정밀도넓은공정윈도우 고정밀해상도넓은공정윈도우 FEP, FEN 해외기업 Intel, AMD, IBM AMAT, TOK, Novellus, Lam Research, AMEC, SPTS, STS ( 일본 ), EVG, ASMP Dow Corning 국내기업 삼성전자 SK 하이닉스 기가레인, 이오테크닉스쎄미시스코, 고영테크, 테스, 한미반도체, 에스티아이, 피에스케이 다우전자재료, 케이피엠테크 다. 전후방산업환경 전방산업인반도체소자업체의고속 대용량 저전력반도체개발수요증대기조속에메모리와 시스템반도체를한개의패키지에묶을수있어반도체크기를줄일수있고전자이동거리 가짧아져전력소모량도줄일수있는 TSV 패키징방식이확대중. 한편, TSV는과거에는 D램 CMOS이미지센서(CIS) 등동종칩을적층하는데쓰였지만, 지금은시스템반도체 + 메모리, 시스템반도체 + 시스템반도체등이종칩을패키징하는쪽으로발전하였고, 또한주요전방산업인반도체외에작고가볍게몸속에내장해야하는바이오분야에도적용중 182

191 전략제품현황분석 3. 시장환경분석 가. 세계시장 TSV는공정미세화를위해서개발된기술이라기보다는한 Package 당적층되는 Die 수를증가시키는패키징관련기술로, 기존의와이어를이용해 Die와기판을연결하는 Wire Bonding 을이용하지않고 Die와 Die 사이에수직으로구멍을내고빈공간에구리 (Cu) 등을채워넣어전극을형성하는방식의기술임 TSV 용패키징소재품목의세계시장규모는 2014 년 41 억달러규모이며, 2015 년부터 2020 년까지연평균 61.7% 성장하여 451 억 79 백만달러의시장형성이전망 [ TSV 용패키징소재의세계시장규모및전망 ] 구분 ( 단위 : 백만달러, %) CAGR ('13~'15) 세계시장 4,100 6,629 10,720 17,330 27,940 45, * 자료 : SEMI( ), 반도체분야산업기술로드맵 (2012) 자료를바탕으로전망치추정 TSV를사용하는 Application은반도체전분야에걸쳐다양한가운데 2017년 TSV 3D IC 시장규모는총 18억달러로예상되며이중 Graphic, Mobile, Network, PC 부문등에사용되는 DRAM, NAND, Wide I/O Memory 등 Memory 반도체관련부문의시장규모는총 7.6 억달러로 42% 의비중에달할전망 초기에는 Image Sensor, DRAM 등동종반도체간단순적층위주로시장이형성되다가향후에는 Logic + Memory, Logic + Logic 형태로발전할전망 DRAM의경우주사용처인 PC, Server/Networking, Graphics, Mobile 부문에서 TSV는모두사용. Server / Networking (HMC), Graphics (HBM), Mobile (Interposer 이용 TSV) 등각부문별로여러적층방식이사용될전망 대부분의적층에사용될 Wide I/O DRAM은 TSV를이용하여 Data 입출력단자수를늘림에따라퍼포먼스를크게개선한 DRAM 183

192 TSV 용패키징소재 * 자료 : Yole Development [ TSV Application 별시장규모전망 ] 나. 국내시장 국내시장규모는 2015 년 338 억원규모로추산되며, 2015 년부터 2020 년까지연평균 101.3% 의높은성장률로 1 조 1,171 억원의시장을형성할것으로전망 국내공급자들은지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이여의 치못했으나 2015 년이후점차로시장에진입하기시작 다만, 아직까지국내주요반도체후공정업체들은 TSV 공정시장에적극적으로 음. 참여하지않 이는 STS 반도체통신, 하나마이크론, 시그네틱스등주요반도체패키징업체들의대규모설비 투자에따른부담에기인 [ TSV 용패키징소재의국내시장규모및전망 ] 구분 ( 단위 : 억원, %) CAGR ('13~'15) 국내시장 , ,757 5, , * 자료 : SEMI( ), 반도체분야산업기술로드맵 (2015) 자료를바탕으로전망치추정 184

193 전략제품현황분석 다. 무역현황 TSV용패키징소재로품목단위의무역현황을분석하는데한계가있어수출품목중반도체웨이퍼위에반도체소자를제조하는용도로쓰이는것의무역현황을살펴보았으며, 수출량과수입량이감소하는추세 수출현황은 11년 382만달러에서 15년 65만달러수준으로감소하였으며, 수입현황은 11년 279만달러에서 15년 34만달러수준으로감소하여무역수지흑자폭축소, 특히 2014년수출감소폭이두드러짐 최근 5년 ( 11~ 15년) 간연평균성장률을살펴보면수출금액은 35.8% 로감소, 수입금액은 40.9% 로감소하였으나 14년을제외하면항상수출이더높은것으로분석 [ TSV 용패키징소재관련무역현황 ] 구분 ( 단위 : 천달러, %) CAGR ('11~'15) 수출금액 3,818 4,444 4,416 1, 수입금액 2,787 1, , 무역수지 1,031 3,439 3, 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 185

194 TSV 용패키징소재 4. 기술환경분석 가. 기술개발트렌드 반도체기술의 3 차원구조로진화 TSV (Through Silicon Via) 는반도체 Chip 적층시 Chip들을수직관통하는 Via Hole을형성하여 Chip 간의전기적신호를전달하는패키지방식으로 Chip 간 Interconnection 감소로 High Density, Low Power, High Speed, Thinner Package 구현가능 SiP (System in Package) 에 TSV를적용하여 3D SiP를구현할경우가격측면에서 SiP의장점을유지하면서 SoC (System on Chip) 대비단점인성능을향상시키는것이가능 자료 : Gartner, NH 투자증권리서치센터 [ TSV 기술패키징프로세스 ] TSV를이용한 3D 집적은능동전자소자로구성된두개이상의층을와이어본딩등을사용하지않고수직으로집적연결하여하나의소자를제조 지난 30~40년간실리콘반도체칩의집적도는소위무어의법칙에따라 1.5~2년에 2배정도로기하급수적으로증가 그러나집적도를높이기위해최소배선폭을줄이는방법은점차물리적한계에도달하게되고경제성측면에서도어려움이존재 이는 Cell 미세화를통한칩성능향상에대한한계점때문인데이를극복하기위한대안으로 186

195 전략제품현황분석 Through Si Via (TSV) 기술을이용한 Chip 적층기술이제기 TVS( 실리콘관통전극 ) 은용어가의미하는대로실리콘웨이퍼의상하를직접관통하는전극으로, 적층된웨이퍼간에최적화된신호의전송경로를제공하며, 와이어본딩영역이필요없어패키지의경박단소화에가장유리 자료 : Elpida [ 기존패키지와 TSV 간비교 ] 일반적인 TSV 공정은레이저천공이나화학적식각을이용하여웨이퍼에구멍을뚫은후도금방식을이용하여구멍을메우는방법을사용 정렬및부산물처리문제로레이저천공보다화학적식각방법을선호하며, 대표적으로 DRIE(Deep Reactive Ion Etching) 를이용 DRIE는플라즈마를이용하여실리콘웨이퍼에수직으로구멍을뚫고구멍을금속으로채우게되는데, 구리가가장많이사용 우선구리도금을위해구멍내부에 3층으로이루어진시드 (seed) 층을형성, 시드층은구리와실리콘사이의절연을위한유전체층, 실리콘에치명적인구리가확산되지않도록하기위한접착 / 확산방지층, 구리도금을위한구리시드층의순서로구멍내벽에형성 이와같은기본적인공정들이외에포토마스크공정, 웨이퍼박화 (thinning) 공정, 평탄화공정 (CMP), 얇은웨이퍼를이동시키기위한웨이퍼본딩 / 디본딩공정등이추가가필요 187

196 TSV 용패키징소재 TSV 기술에따른 3D IC 집적의개요및전망 3D Si 집적과달리 3D IC 집적은 TSV 및마이크로범프를이용하여무어의법칙을따르는어떠한칩들도 3차원으로적층가능 3D IC 집적방식에는메모리칩적층, 인터포저 (interposer) 방식두가지가존재 메모리칩적층 (3D IC 집적 ) 메모리의용량을증가시키기위한방법으로 50μm두께의 8개의메모리칩들을 TSV와마이크로범프를이용하여적층 자료 : Semi, Gartner [ TSV 와마이크로범프를이용한 8 단적층칩 ] 수동인터포저 (2.5D IC 집적 ) TSV 기술은고전력, 다핀, 미세피치 CPU와최대밴드폭및저전력메모리칩을적층하기위해로직, 마이크로프로세서, 와이드 I/O 인터페이스를가진메모리와같은능동인터포저에사용가능 Memory/Logic과 CPU/Logic 두개의칩을유기물기판에수평적으로배치하는것에비해면적과크기가작고, 고성능, 저비용을실현 이경우 CPU는능동 TSV의역할을하지만 CPU와메모리칩의소자의고밀도와회로의복잡성때문에비아-미들 (via-middle) 또는비아-라스트 (via-last) 공정을이용하여 TSV를뚫을공간을확보하는것은매우어렵고또한, 크기나핀수가다른 CPU와메모리칩을부착시키기위해서는설계의자유도나성능에일부제약이존재 188

197 전략제품현황분석 자료 : Semi, Gartner [ Memory/Logic + CPU/Logic 3D IC 직접 ] 수동인터포저 (2.5D IC 집적 ) TSV는초미세피치, 다핀, 고전력, 고밀도의무어의법칙 IC 칩을지원하는수동인터포저에도사용가능 칩의미세피치패드어레이를간단하고얇은, 빌드업층을가지지않은유기물기판상의비교적큰피치의패드에재배치하기위해서는중간기판 ( 수동 TSV 인터포저 ) 이필요 자료 : Semi, Gartner [ 수동인터포저를가진 Memory/Logic + CPU/Logic 3D IC 직접 ] 수동인터포저 (3D IC 집적 ) 저비용방열 3D IC 집적 SiP의실현을위해서는수동 TSV 인터포저를통한칩간연결을사용 능동칩에구멍을내는대신수동 TSV 인터포저를가진기존의칩을사용하므로아주경제적이며또한, 능동칩을얇게하거나금속화가필요없으며, 능동웨이퍼에지지웨이퍼를임시본딩하고제거하는공정의생락가능 이와같이수동인터포저는고밀도 TSV, RDL, IPD를가진실리콘인터포저로서로다른피치, 크기, 위치의패드를가진다양한무어칩을연결 189

198 TSV 용패키징소재 MPU, GPU, ASIC, DSP, MCU, RF, 고전력메모리와같은모든고전력칩들은플립칩형태로 TSV 인터포저의상부에위치하여열방출을용이하게하며, MEMS, MOEMS, CIS, 메모리등의저전력칩들은플립칩또는와이어본딩형태로인터포저의하부에부착되는방식으로 3D IC 집적 SiP의가장유효한방식 자료 : Semi, Gartner [ TSV/RDL/IPD 인터포저를갖는 3D SIP ] 나. 주요업체별기술개발동향 (1) 해외업체동향 TSV 해외주요업체로는 Elpida, Fraunhofer IZM, Georgia Tech, HKUST, IBM, IMEC, IME, Infineon, Intel, Micron, NEC, Oki, Qualcomm, RPI, Tessera, TSMC, Xilinx, Ziptronix, 등을포함한산업계, 학교, 연구소등 40여곳존재 이미해상도가낮은저가형 CMOS 이미지센서에는 TSV가적용되고있으며, 메모리나로직에 TSV 를적용한제품의양산을위해여러업체들이원가절감및신뢰성확보에노력중이며향후반도체간단순적층위주로시장이형성되다가향후에는 Logic + Memory, Logic + Logic 형태로발전할전망 웨이퍼천공 (drilling), 비아충진 (via filling), 웨이퍼박화등의 TSV 기본공정을가장먼저정립한업체는 Tru-Si Technologies로이에대한원천특허를많이확보 향후 TSV를상용화를위해서는디자인에서부터구멍을뚫고, 채우고, 검사까지모든부분에있어인프라구축등추가적인연구개발이필요 얇은웨이퍼를다루고연삭하는공정개발및 TSV로연결된적층집의검사방법에관한연구에많은업체들이활발히연구를진행중 Elpida는 2009년에 1Gb DRAM 8개를쌓은 8Gb TSV 제품을선보였으며 2010년에는 2Gb 칩 8개를 TSV로연결한 16Gb 제품및 2011년말을목표로 GPU 위에적층될 ultra-wide I/O GDDR5를 TSV를이용한제품을출시 190

199 전략제품현황분석 자료 : Elpida [ Elpida 의 TSV DRAM ] IBM 은 2008 년부터무선통신에사용되는파워앰프소자와마이크로프로세서의그라운드용 TSV 기 술개발을완료하여 Cisco 와함께 CPU 위에 TSV 로캐시메모리를연결한제품을출시 Intel 역시 CPU 위에 TSV 와이드 I/O 로메모리를연결하는제품을 2012 년상용화 자료 : IBM [ IBM TSC 구조 ] Qualcomm은휴대전화에들어가는베이스밴드프로세서위에 TSV로메모리를올리는기술을개발하였으며또한 RF를포함한무선칩에 TSV를적용하여전원과그라운드를연결해서고주파성능을향상시키는방안도병행중 어플리케이션과베이스밴드프로세서를 TSV 인터포저를이용해모듈화하는부품개발도진행중인것으로파악 191

200 TSV 용패키징소재 [ Qualcomm 의 3D TSV ] [ Intel 의 3D chip stacking ] 자료 : 교육과학기술부 [ Elpida 의 TSV DRAM ] TSV 시장은반도체패턴미세화공정기술의진보와함께발전하고있지만현시점에최대수익을얻는반도체소자의수요에가장크게의존 따라서공급자들의주력으로는장비와재료를중심으로시장을유지 원칩화에대한요구가점차로증대되고있어공급업체들은이러한상황을예의주시 192

201 전략제품현황분석 (2) 국내업체동향 TSV 국내주요업체로는반도체소자에는삼성전자, SK하이닉스등이있으며 TSV 장비 / 재료에는쎄미시스코, 기가레인, 이오테크닉스, 고영테크한미반도체, 에스티아이, 피에스케이, 다우전자재료, 케이피엠테크등이존재 2014년 8월삼성전자는 TSV를이용한 DRAM 양산을발표했으며 SK하이닉스도 2015년상반기에 TSV기술을적용한 DRAM 양산을계획하고있다고발표함으로써국내 DRAM에서도 3D구조 TSV 적층기술을본격적으로적용중 하지만 TSV 장비 / 재료공급자는지금까지세계시장의주요공급업체들의높은진입장벽으로시장진입이여의치못했으나 2015년이후점차로시장에진입하기시작 TSV 3D IC 기술은향후반도체시장을주도하는한국업계에큰영향을줄것으로예상 아직 TSV 사용 3D IC에대한업계표준화가정립되지않은상황이며각반도체업체들은업체간공동개발등을통해사업화에대비중 Memory Image Sensor 등동종반도체간적층단계를지나이종반도체간적층시 Memory, System IC, Foundry, OSAT 업체들중어느업체위주로 3D IC 반도체상품화에앞서느냐가향후업계에큰영향을미칠것으로판단 AP, CPU 등 Processor에 Memory 반도체또는타 Logic 반도체를적층하는경우 Processor 업체위주의제품발전이예상되며또한이종 Chip 적층제품의불량발생시이에대한 Resource 를보유한업체가명확한대응을할수있다는점에서 AP와 Memory 반도체를모두보유중인삼성전자에게기회가될것으로예상 현재 AMD와협력중인 SK하이닉스역시향후 Processor 업체들과의협력관계를더욱확대할것으로전망 TSV 및 3D IC 공정의높은난이도와저수율문제에따라초기에는 IDM 업체들이전공정에이어후공정까지모두담당할가능성이높아시장형성초기에는후공정업체들에게 TSV의등장이큰수혜가되지는못할것으로예상 반도체장비업체들에게는 TSV라는새로운공정도입이수혜. AMAT, TOK, Novellus, Lam Research 등해외업체뿐아니라다수의한국업체들도 TSV 장비개발중. 다만아직양산장비출시에는시간이필요하므로한국업체들의성공여부를판단하기에는이른상황 한국장비업체들중에는현재기가레인, 쎄미시스코, 테스, 에스티아이등이각분야에서상용화에다소앞서고있는것으로판단 193

202 TSV 용패키징소재 다. 기술인프라현황 중소기업청에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록지원하는연구장비공동활용지원사업을운영 중소기업청산하중소기업기술정보진흥원을통해대학및연구기관이보유한연구장비를소프트웨어의중소기업공동활용을지원하여국가장비활용도제고와중소기업기술경쟁력향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 * 자료 : 중소기업기술정보진흥원 [ 연구장비공동활용지원절차 ] 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형 실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수 있는인프라를제공 194

203 전략제품현황분석 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소 중견기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 자료 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 195

204 TSV 용패키징소재 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교 육을진행 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 자료 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 196

205 전략제품현황분석 라. 특허동향분석 (1) 연도별출원동향 TSV용패키징소재기술의지난 7년 ( 10~ 16) 간출원동향 19) 을살펴보면 13년까지지속적으로증가추세를보이다가그이후소폭감소추세로전환되었으나, 최근까지출원건이유지되고있어지속적으로 TSV용패키징소재관련기술개발활발 각국가별로살펴보면미국및한국은 13년도까지지속적인증가추세를보이다가그이후감소하는경향을보이고있으며, 일본은 12년도까지증가추세를보이다가감소하고있으며, 유럽은소폭증감을반복하는추세 국가별출원비중을살펴보면미국이전체의 69.6% 로최대출원국으로 TSV 용패키징소재기 술에리드하고있는것으로나타났으며, 한국은 16.0%, 일본 9.3%, 유럽은 5.1% 순으로나 타남 [ TSV 용패키징소재분야연도별출원동향 ] 19) 특허출원후 1 년 6 개월이경과하여야공개되는특허제도의특성상실제출원이이루어졌으나아직공개되지않은미공개데이터가존재하여 2015, 2016 년데이터가적게나타나는것에대하여유의해야함 197

206 TSV 용패키징소재 (2) 국가별출원현황 한국의출원현황을살펴보면 13년까지지속적인증가추세를나타냈으나, 14년도이후로소폭감소세로전환되는경향 한국의내국인출원비중은 13년도까지계속증가하는추세를나타내고있으며, 외국인출원비중이내국인보다작게나타나는것으로보아국내시장에대한외국인의선호도가높지않은것으로추정 미국의출원현황은 13 년까지소폭증가추세를보이다가 14 년도이후에소폭감소하는추세 를보이고있으며, 미국의내국인출원비중이지속적으로증가하고있으나, 해마다외국인비 중이높게나타나고있어외국출원인의미국내출원이활발 일본의출원현황은 13 년까지소폭증가하다가이후소폭감소하는추세를보이고있으며내국 인의출원비중도전체출원동향과비슷한경향을보이는것이특징 유럽의경우출원건수가해마다소폭증감을반복하는경향을보이고있으며, 유럽내외국출 원인의비중이월등히높게나타나는것이특징 [ 국가별출원현황 ] 198

207 전략제품현황분석 (3) 투입기술및융합성분석 TSV용패키징소재분야의투입기술을확인하기위하여특허분류코드인 IPC Code 20) 를통하여살펴본결과 TSV용패키징소재분야의가장높은 IPC는 H01L 기술분야가 1955건으로가장많이차지하고있으며, 이어서 G01R이 96건, G06F가 83건으로다수를차지 이외에 G11C 72건, H05K 32건, C25D 27건, C09J 19건, H03K 19건, G02B 18건, C23C 15건순으로기술이투입되어있어 TSV용패키징소재분야에다양한기술이융합되어존재 더불어해당 IPC 의특허인용수명을살펴보면 C09J 기술분야의수명이 9 년으로가장긴것으로나 타났으며, G11C 기술분야는 5 년으로가장짧은것으로분석 [ TSV 용패키징소재분야상위투입기술 ] IPC 기술내용특허인용수명 (TCT) 21) H01L 반도체장치 ; 다른곳에속하지않는전기적고체장치 6 년 G01R 전기변량의측정 ; 자기변량의측정 7 년 G06F 전기에의한디지털데이터처리 6 년 G11C 정적기억 5 년 H05K 인쇄회로 ; 전기장치의상체또는구조적세부, 전기부품의조립체의제조 6 년 C25D 전기분해또는전기영동에의한피복방법 ; 전기주조 8 년 C09J 접착제 ; 일반적인접착방법 ( 비기계적요소 ); 달리분류되지않는접착방법 ; 물질의접착제로서의사용 9 년 H03K 펄스기술 6 년 G02B 광학요소광학계또는광학장치 6 년 C23C 금속재료의피복 ; 금속피복재료 ; 표면확산, 화학적전환또는치환에의한금속재료의표면처리 ; 진공증착, 스퍼터링, 이온주입또는화학증착에의한피복, 일반 7 년 20) 전세계적으로통용되고있는국제특허분류 (IPC: International Patent Classification) 를통해특허정보기술분야에서공지기술을조사할수있으며, 기술및권리정보에용이하게접근가능 21) 특허인용수명지수는후방인용 (Backward Citation) 에기반한특허인용수명의평균, Q1, Q2( 중앙값 ), Q3 에대한통계값을제시함. 특히이와같이산출된 Q2 는 TCT(Technology Cycle Time, 기술순환주기또는기술수명주기 ) 라고부름 199

208 TSV 용패키징소재 투입기술이가장많은 H01L 분야와융합이높게이루어진기술은 B24B 분야로나타났으며, H03K, H05K 분야와도융합된기술의건수가높은것으로분석 이외에 G01R 분야와융합된기술은 H05K, H01R, G06T 분야와융합된기술이많은것으로나타났으며, G06F 분야와융합된기술은 G11C, H03K, H04L 기술로분석 [ TSV 용패키징소재분야 IPC 기술및융합성 ] (4) 주요출원인분석 세계주요출원인을살펴보면주로미국및한국의출원인이다수의특허를보유하고있는것으로나타났으며, 반도체분야의출원인이대부분 주요미국출원인을살펴보면 INTERNATIONAL BUSINESS MACHINES(IBM), INTEL, TEXAS INSTRUMENTS, QUALCOMM 등반도체전문기업이다수출원을하고있는것으로나타났으며, 이들미국출원인은주로미국본국에출원건수가높은것으로나타남 한국출원인으로는삼성전자, 에스케이하이닉스등의기업이상위출원인으로나타나 TSV용패키징소재관련기술을다수보유 가장많은특허를보유하고있는 TAIWAN SEMICONDUCTOR MANUFACTURING의 3극패밀리수가 0건으로다국적으로시장을확보하기위한해외출원은미흡한것으로보이며, IBM은 8건으로다국적시장을확보 200

209 전략제품현황분석 싱가폴기업인 STATS CHIPPAC 이확보한특허의피인용지수가 7.67 로가장높게나타나기 술의파급성이높은원천기술을다수보유하고있는것으로분석됨 [ 주요출원인의출원현황 ] 주요출원인 TAIWAN SEMICONDUCTOR MANUFACTURING 국가대만 주요 IP시장국 ( 건수 %) 한국 미국 일본 유럽 % 87% 3% 0% IP시장국종합 3극패밀리수 ( 건 ) 피인용지수 미국 주력기술분야 낮은유전상수또는극저유전상수의유전체 TSV 패키징소재 INTERNATIONAL BUSINESS MACHINES 미국 % 98% 2% 0% 미국 실리콘보드실리콘관통배선구조 삼성전자 한국 % 52% 5% 0% 미국 저유전물질 TSV 에스케이하이닉스 한국 % 55% 0% 0% 미국 TSV 리페어 (Repair) 기술 INTEL 미국 % 64% 1% 6% 미국 TSV 랜딩구조 STATS CHIPPAC 싱가폴 67 0% 100% 0% 0% 미국 절연링을갖는도전성 TSV 구조 TEXAS INSTRUMENTS 미국 % 98% 0% 2% 미국 protecting TSV tip 기술 MICRON TECHNOLOGY 미국 % 90% 8% 2% 미국 임베디드 TSV 칩 GLOBALFOUNDRIES 영국 ( 케이만제도 ) 49 0% 100% 0% 0% 미국 기계적스트레스등감소용측벽에어갭구비 TSV 구조 QUALCOMM 미국 % 40% 15% 13% 미국 스트레인완화 TSV 구조 201

210 TSV 용패키징소재 (5) 국내출원인동향 국내출원인동향을살펴보면대기업은에스케이하이닉스의출원건수가가장높게나타났으며, 중소기업에서는 이피윅스의출원건수가높게나타남 대기업의주요출원인은대기업의주요출원인은삼성전자, 앰코테크놀로지 ( 주 ), 에스에프에이반도체, 하나마이크론 ( 주 ), 실리콘화일, 제일모직, 케이씨텍등이있으며, 중소기업의주요출원인은에스엔유프리시젼 ( 주 ), 에이씨엔, 나라셀텍 ( 주 ), 두진테크놀로지등이주요출원인인것으로나타남 기업이외의주요출원인을살펴보면한국과학기술원, 한국기계연구원, 전자부품연구원, 한국전자통신연구원, 한국전기연구원, 한국한의학연구원, 한국생산기술연구원, 한국기초과학지원연구원등이활발한연구개발을하고있으며, 대학의경우에는한양대학교, 성균관대학교, 서울시립대학교, 세종대학교, 한국항공대학교, 호서대학교, 포항공과대학교, 서울과학기술대학교, 중앙대학교, 서울대학교등다양한대학교에서연구개발을하고있는것이특징으로분석됨 [ 국내주요출원인의출원현황 ] 202

211 전략제품현황분석 5. 중소기업환경 가. 중소기업경쟁력 TSV 용패키징소재분야의중소기업경쟁력은기술분류별로차이가있으나전체적으로참여규모 와정도가크지않은상황임 [ TSV 용패키징소재분야중소기업현황 ] 분류주요품목및기술대기업중소기업 중소기업 참여영역 중소기업 참여정도 반도체소자 ( 설계포함 ) SoC, SIP 삼성전자, SK 하이닉스, 실리콘웍스 에이디테크놀로지, 어보브반도체, 텔레칩스, 아나패스, 넥스트칩, 피델릭스, 티엘아이 메모리 / 비메모리반도체설계 TSV DRIE TSV 장비 높은수울고정밀도넓은공정윈도우 한미반도체, 피에스케이 기가레인, 쎄미시스코, 테스, 에스티아이, 이오테크닉스 Etcher, Via 고속측정장비, TSV Passivation 장비, TSV Bumping Ball 형성장비 TSV 재료 고정밀해상도넓은공정윈도우 FEP, FEN 다우전자재료 케이피엠테크 TSV 용화학약품 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 203

212 TSV 용패키징소재 나. 중소기업기술수요 TSV 용패키징소재분야의중소기업의기술수요를파악하기위하여중소기업기술수요조사및 중소기업청 R&D 신청과제 (2013~2015 년 ) 를분석한결과아래표의내용과같은수요들이있는 것으로분석됨 [TSV 용패키징소재분야과제신청현황및수요조사결과 ] 전략제품기술분류관심기술 장비 비전도성필름 (NCF) 을웨이퍼범프면에부착하는장비개발기술 TSV 용패키징소재 공정 WLP 에적용가능한 TSV 3D Package 공정기술 Si interposer TSV 및 FOWLP 적용 3D 적층패키징기술 204

213 전략제품현황분석 다. 중소기업핵심기술 (1) 데이터기반요소기술발굴 TSV용패키징소재기술의특허및논문데이터검색을통해도출된유효데이터를대상으로데이터마이닝기법 (Scientometrics 기법 ) 을통해클러스터링된키워드의연관성을바탕으로요소기술후보군을도출 TSV용패키징소재기술의특허및논문유효데이터를기반으로키워드클러스터링을통하여 12 개의요소기술후보군을도출 제품별 dataset 구축 : TSV용패키징소재기술관련특허 / 논문데이터를추출하여노이즈제거후제품별 dataset 구축 1차클러스터링 : 키워드맵을통한고빈도키워드확인-빈도수 (tf-idf) 22) 가상위 30% 에해당하는키워드를대상으로 1차추출 2차클러스터링 : 1차클러스터링에서추출된고빈도키워드사이에서고연관도키워드를 2차추출 ( 고연관도기준은연관도수치 23) 가 2이상인클러스터로제한 ) 다음그림은키워드간연관네트워크를시각화한것으로, 각키워드를나타내는원과키워드간의연관도를나타내는직선으로구성 각키워드가특허와논문중어느데이터에서도출되었는지원의색으로구분하였으며, 키워드로도출된클러스터는황색음영으로표시 키워드를나타내는원은고빈도의키워드일수록원의크기가크게표현되며, 연관도를나타내는선은키워드사이의연관도수치가높을수록굵게표현 TSV용패키징소재기술전략제품의특허 논문유효데이터에대하여키워드클러스터링결과를기반으로요소기술도출 데이터기반의요소기술도출은키워드클러스터링을통해도출된요소기술에대하여전문가의검증및조정을통하여요소기술을도출 22) 빈도수 (tf-idf) : 각키워드가출현되는특허또는논문수를의미 23) 연관도수치 : 두개이상의키워드사이의특허또는논문수를의미 205

214 TSV 용패키징소재 [ TSV 용패키징소재기술분야키워드클러스터링 ] [ TSV 용패키징소재기술분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 TSV, semiconductor, VIA 4~7 1. PROTECTED THROUGH SEMICONDUCTOR VIA (TSV) 2. Semiconductor chip with a dual damascene wire and through-substrate via (TSV) structure 3. Method of fabricating semiconductor devices having through-silicon via (TSV) structures 클러스터 02 TSV, semiconductor, PAD 4~7 1. SEMICONDUCTOR DEVICES HAVING A TSV, A FRONT-SIDE BUMPING PAD, AND A BACK-SIDE BUMPING PAD 2. THROUGH-SILICON VIA (TSV) SEMICONDUCTOR DEVICES HAVING VIA PAD INLAYS 클러스터 03 TSV, semiconductor, layer 4~7 1. Semiconductor device and method of forming a shielding layer over a semiconductor die disposed in a cavity of an interconnect structure and grounded through the die TSV 2. Semiconductor packages having TSV and adhesive layer 3. Semiconductor Device and Method of Forming Shielding Layer Over Semiconductor Die Mounted to TSV Interposer 206

215 전략제품현황분석 No 주요키워드연관도수치관련특허 / 논문제목 클러스터 04 TSV, vertical 4~8 1. Semiconductor die and method of forming Fo-WLCSP vertical interconnect using TSV and TMV 2. Semiconductor device and method of embedding TSV semiconductor die within encapsulant with TMV for vertical interconnect in POP 클러스터 05 TSV, semiconduct orinterposer 4~8 1. Semiconductor device and method of forming open cavity in TSV interposer to contain semiconductor die in WLCSMP 2. Semiconductor package having through silicon via (TSV) interposer and method of manufacturing the semiconductor package 클러스터 06 클러스터 07 TSV, interconnect 4~7 TSV, wafer 4~7 1. Semiconductor device and method of forming topside and bottom-side interconnect structures around core die with TSV 2. Semiconductor device and method of forming a shielding layer over a semiconductor die disposed in a cavity of an interconnect structure and grounded through the die TSV 1. Semiconductor device and method of forming guard ring around conductive TSV through semiconductor wafer 2. Semiconductor device having a wafer level through silicon via (TSV) 3. Semiconductor Device and Method of Forming UBM Structure on Back Surface of TSV Semiconductor Wafer 클러스터 08 TSV, semiconductor package 4~7 1. Semiconductor TSV device package for circuit board connection 2. Semiconductor package and method of mounting semiconductor die to opposite sides of TSV substrate 클러스터 09 TSV, substrate 4~7 1. Semiconductor Device and Method of Embedding TSV Semiconductor Die Within Substrate for Vertical Interconnect in POP 2. Semiconductor chip with a dual damascene wire and through-substrate via 3. Semiconductor device and method of mounting die with TSV in cavity of substrate for electrical interconnect of Fi-PoP 클러스터 10 TSV, die 4~7 1. Semiconductor Device and Method of Forming TSV Semiconductor Wafer with Embedded Semiconductor Die 2. Semiconductor Device and Method of Forming Conductive TSV in Peripheral Region of Die Prior to Wafer Singulaton 207

216 TSV 용패키징소재 [ TSV 용패키징소재분야데이터기반요소기술 ] No 요소기술명키워드 요소기술 01 충진소재기술 filling, material 요소기술 02 도금소재기술 plating, material 요소기술 03 포토레지스트기술 photoresist 요소기술 04 웨이퍼 Thinning 소재기술 wafer, thinning 요소기술 05 칩접합소재기술 chip, bonding 208

217 전략제품현황분석 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ TSV 용패키징소재분야요소기술도출 ] 분류요소기술출처 충진소재기술 특허 / 논문클러스터링, 전문가추천 충진 도금소재기술 특허 / 논문클러스터링 포토레지스트기술 특허 / 논문클러스터링 본딩 / 범핑 웨이퍼 Thinning 소재기술 칩접합소재기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 (3) 핵심기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심기술선정위원회를통하여중소기업에적합한핵심기술선정 핵심기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업적합성 (10) 을고려하여평가 [ TSV용패키징소재분야핵심기술 ] 분류핵심기술개요 충진 충진소재기술 납에대한환경규제강화에대응하는납대체저비용친환경충진소재, 고정밀내열성언더필소재등을개발하는기술 본딩 / 범핑 웨이퍼 Thinning( 연마 ) 소재기술 칩접합소재기술 Back grinding 및기계화학적연마 (CMP) 소재를개발하는기술 칩에뚫린미세한크기의구멍에맞춰매우정밀하게솔더펌프를형성하는신규소재를개발하는기술 209

218 TSV 용패키징소재 6. 기술로드맵기획 가. TSV 용패키징소재기술로드맵 210

219 전략제품현황분석 나. 연구개발목표설정 [ TSV 용패키징소재분야핵심기술연구목표 ] 분류핵심기술기술요구사항 충진충진소재기술고성능소재 연차별개발목표 1 차년도 2 차년도 3 차년도 저열팽창성비전도성언더필소재개발 저열팽창성비전도성언더필소재성능개선 저열팽창성비전도성언더필소재양산화 최종목표 저열팽창성비전도성언더필소재개발 웨이퍼 Thinning( 연마 ) 소재기술 고수율웨이퍼연마소재 고수율웨이퍼연마소재개발 고수율웨이퍼연마소재성능개선 고수율웨이퍼연마소재양산화 고수율웨이퍼연마소재개발 본딩 / 범핑 칩접합소재기술 저비용칩접합소재 저비용칩접합소재개발 저비용칩접합소재성능개선 저비용칩접합소재양산화 저비용칩접합소재개발 211

220

221 전력반도체소자 전략제품현황분석

222

223 전력반도체소자 정의및범위 전력반도체소자는전기에너지를직류, 교류로변환하여활용하기위해전압및주파수변화등의제어처리를수행하는반도체 전력반도체의핵심기술은크게회로설계기술, 소자기술, 모듈패키징기술등으로구분되며각기술은시스템과구조설계혹은공정방법에따라다양한기술로분류 정부지원정책 산업통상자원부는 신성장동력창출을통한산업경쟁력강화 를주제로대통령업무보고에서전력반도체를 주력산업의고부가가치화를위한핵심유망분야 로선정 전력반도체전문기업과수요대기업이협력하여사업을추진하는대 중 소상생협력형컨소시엄을구성하여중소기업과대기업의성장에시너지효과를제공 산업통상자원부는 2017년부터 2023년까지 7년간정부와민간을합쳐약 2000억원을투입해전력반도체핵심부품과실리콘을대체하는차세대화합물전력반도체를개발키로하며, 사물인터넷 (IoT) 시장에적합한전력관리시스템온칩 (SoC) 개발도추진 부산에차세대전력반도체연구 생산 기술지원기반도마련하며, 기존국가팹인나노종합기술원 ( 대전 ), 한국나노기술원 ( 수원 ), 나노융합기술원 ( 포항 ) 과연계해기존설비를함께활용할예정중소기업시장대응전략 강점 (Strength) 전자 / 전기기기의핵심부품으로써다양한산업에서큰파급효과를지님 상당한수요가있으므로전력반도체산업육성여건은양호 다품종소량생산이필요한분야로중소 / 중견기업의성장이가능기회 (Opportunity) 정부의강소기업기술개발에지원정책확대 국산화기술확보에따른시장확대 수입대체효과향상 약점 (Weakness) 전력반도체중소기업의선행기술개발인식부족 세계적으로성장이유망한시스템반도체분야에서는산업구조가취약 수입의존도가 90% 를넘는무역적자품목위협 (Threat) 기술수준이글로벌선진기업대비 70% 에불과 에너지손실절감을위한고효율화필요 선진국의공격적인전력반도체산업육성정책에대응요구 중소기업의시장대응전략 관계부처합동하에반도체설계와장비산업의중소기업육성으로국산화율확대 전력반도체소자고효율화와에너지절감에대응하는기술개발을통한국내외점유율향상 전력신소재기반전력반도체신산업점유율향상을위한인력, 인프라분야별효율적지원

224 핵심기술로드맵

225 전략제품현황분석 1. 개요 가. 정의및필요성 전력반도체소자는전력변환, 전력변압, 전력안정, 전력분배및전력제어, 관리등을수행하는반도체 전력반도체의기술에는크게회로설계기술, 소자기술 ( 전력신소재기술, 소자설계및구현기술 ), 및모듈패키징기술등이있으며각기술은시스템과구조설계혹은공정방법에따라다양한기술로구분 전력을사용하는모든기기에서전원또는배터리로부터공급되는전력을자동차, 조명, 노트북, 스마트폰등다양한시스템이필요로하는전압과전류수준으로변환하고시스템전체의전력을관리하는역할을수행 전력반도체는에너지를제어하기위하여전력공급장치나전력변환장치에탑재되며, 전력용파워스위칭소자와제어 IC로구성되며, 전력을시스템에맞게배분하는제어 / 변환기능이핵심 전력을조절 / 전달하는단순한기능에서에너지효율제고및시스템안정성으로영역이확장 전력반도체는일반반도체에비해고내압화, 고신뢰성화고주파수화등이요구되어, 모바일기기, 컴퓨팅, 통신, 가전, 노트북, 자동차등의응용분야를포함하여, 최근고속스위칭, 전력손실최소화등이필수적인, 신재생 / 대체에너지, 전기자동차, HVDC, ESS 분산전원등에탑재되어에너지제어및절감에기여 [ 전력반도체기술 ] 회로설계기술소자기술모듈패키징기술 회로설계기술은주로전력 IC( 집적회로 ) 를설계하여개별소자를컨트롤하는역할을포함하여, 인덕터, 커패시터, 트랜스포머사양이매우중요한설계요소 다이오드, 트랜지스터, 저항, 콘덴서등과같은많은회로소자가하나의실리콘결정의기판에집적 각종 Driver IC로구성되며, 다수의개별소자와전자부품들을칩내에집적하여효율적인컨트롤을위한설계를연구하며, 전력스위칭소자의동작주파수와전력제어 / 관리방식이필수적 시스템에맞게전력을배분하는제어기능과전력변환기능, 배터리보호회로 (PTC) 기능, 전원소스 ( 배터 217

226 전력반도체소자 리, 전원등 ) 모니터및관리기능, 다양한출력전원공급기능등이단일칩으로통합되게하는전력관리반도체회로기술 Power Management IC (PMIC) 로연계 PMIC는애플리케이션에공급되는전압을단일칩화하여, 부피를효율적으로경감하여, 비용절감의효과를얻을수있으며, 모바일기기등의배터리에핵심부품으로자리매김하고있으며, 발열문제와노이즈, 간섭등의문제로전력손실을최소로할수있는회로기술개발이중요 소자기술이란개별소자, 즉디바이스또는 Discrete이라불리는반도체소자를전력변환및전력제어등에효율적으로사용하기위해제작하는기술 정류작용을하는정류소자와 On-Off 동작을수행할수있는스위치소자로분류되며, 전력트랜지스터의분류로는전력 MOSFET(Metal Oxide Silicon Field Effect Transistor), 바이폴라트랜지스터, IGBT(Insulated Gate Bipolar Transistor) 등이있음 전력다이오드는정방향으로전류가흐르고역방향으로는전류의흐름이억제되는구조이며, 다른종류의전력반도체소자와달리고전류를이송가능 전력반도체소자 (Power Device) 는전력장치용반도체소자로, 다양한응용분야에서수요가증가하고있는추세이며, 전통적으로전력 MOSFET 소자는전력이소용량이고스위칭속도가빠른응용분야에사용되며, IGBT 소자는중용량, 스위칭속도가중간인응용분야에사용되나, 전력신소재인고에너지갭화합물을사용하여이에대한개선이이루어지고있는중 고에너지갭전력신소재인실리콘카바이드 (SiC) 반도체와질화갈륨 (GaN) 반도체등은실리콘에비해물질특성이우수해약 8배높은전압을견딜수있고전류는 100배까지흘릴수있음. 특히, Si과같은 IV-IV족화합물인 SiC의경우열전도성이매우뛰어나며, 성숙된웨이퍼기술로인하여기존의 DMOS(double-Diffused Metal Oxide Semiconductor) 구조의장점을적용하여, LDMOS, VDMOS, TDMOS에상응하는소자와 NPT IGBT도구현이가능하여, 큰주목을받으며활용되는추세 모듈패키징기술이란웨이퍼수준에서제작된개별소자들을세라믹이나플라스틱의단일 Package내에구현하거나적층하여성능을향상시키는기술 전력반도체모듈의신뢰성을높이고안정적인동작을위하여보호회로와컨트롤용파워 IC 등을추가로집적하여하나의 Package로제작 모듈 / 패키징기술의개발은, 고신뢰성전력반도체기술이필요한친환경절전형 HEV용고속 / 고효율배터리팩과배터리관리전력반도체회로기술, 모터구동에필요한고전압 / 대전류파워스위칭소자및파워스위칭구동회로등이내장된파워모듈의구현에필수적으로요구 미국, 일본, 유럽의업체는이미차세대용 HEV, PHEV, EV, 태양광용의고압, 대전류용의산업전력스위치및파워모듈을대량생산하고있으며, 최근모듈의집적도를높이면서동시에높은신뢰성을확보하고, 모듈이적용되는제품들은더축소되어사용하기편리하도록기술개발이이루어지고있는중 218

227 전략제품현황분석 나. 범위 (1) 제품분류관점 [ 전력반도체기술범위 ] 전략제품분류세부기술 저전압동작전력스케일링 (Power Scaling) 기술 스케일링가능한파라미터요소고려 회로설계 저전압아날로그회로설계 고전압 / 고전류반도체설계 모듈 / 소자 / 전력 IC 솔루션 저전압동작전력스케일링 (power scaling) SNR 확보설계기술 아날로그 - 디지털변환 ADC 설계기술 주파수및이득특성개선설계기술 소자 - 회로연계설계기술 차세대전력소자및시스템산업 Eco-system 구축 소비전력조절기술 전력변환회로설계 인버터 / 컨버터회로설계 열방출및전력변환최적화위한시뮬레이션 WBG 전력신소재기반의소자기술확보 Ron 온저항최적화설계및제작기술 전력반도체 소자제작 화합물기반전력반도체소자기술 미세패턴증착기술 Doping 농도조절기술 접합계면식각기술 열특성, 스위칭특성최적화기술 고전압 / 대전류적용가능한기술확보 반도체소자에피성장기술 모듈제조공정기술 SiC, GaN 등 WBG 물질성장조건확립 이종 / 동종성장기술 결함제어기술 고온환경에서안정적인동작을위한고온용모듈재료및공정기술개발 Contact 저항최소화를위한 metal 구조및열처리조건확보를통한 Ohmic 특성향상기술 저항손실감소를위한 Multi-metal 구조안정화기술 PKG/ 모듈기술확보 모듈 / 패키징 소자모듈기술 고내압 / 대전류및고신뢰성설계기술확립 전기적저항최소화및기존 Al wire 피로수명개선 방열효과극대화를위한재료및공정개발 모듈 / 패키징기술신뢰성및안정적동작확보를위한구동및보호용 IC 내장기술 소자회로기술 고속 / 저손실소자를적용한회로설계기술 스위칭속도향상및전력손실최소화기술 모듈내회로최적화및열방출최적화기술 219

228 전력반도체소자 (2) 공급망관점 [ 공급망단계별주요제품분류 ] 전략제품분류세부제품및분야 반도체소자기판 4 인치, 6 인치, 8 인치 전력반도체 반도체소자에피기판 전력반도체소자특성 에피품질, 에피두께 전력변환, 전력변압, 전력안정, 전력분배, 전력제어 전력반도체소자응용제품 IT, 가전, 자동차, 산업용 220

229 전략제품현황분석 2. 산업환경분석 가. 산업특징및구조 (1) 산업의특징 전력반도체산업은다품종을소량으로생산하는특성과함께, 연계된설계-소자-모듈기술의확보와함께, 높은신뢰성과내구성이요구되므로진입장벽이높은편 사용전압, 효율성, 신뢰성향상등을목적으로설계 제조 모듈 적용이일체형으로개발되며기업들의니즈에맞게다양한시스템에적용되는특성이있고, 미국, 유럽, 일본등지의대다수선진기업들도대부분수직계열화된구조보유 단일제품으로큰시장을점유하기어려워폭넓은다양한포트폴리오가요구되며, 설계 모듈기술을바탕으로수요자니즈별제품스펙을조절하여출시하는것이중요 전력반도체가손상될경우제품작동에필요한전력공급자체가중단되므로높은신뢰성과내구성이요구되므로, 새로이시장에진입하기가쉽지않음 한편전력반도체는아날로그반도체특성과함께다품종소량생산이요구되는분야임에따라중소. 중견기업의성장이충분히경쟁력을가질수있음 전력반도체산업은에너지절약, 그린에너지, 전력효율화의추세에따라세계적으로급성장중 한편높은기술진입장벽을뛰어넘을수있는투자와노력이국내에서는본격적으로이루어지지못하고있으나, 전력반도체특성상다품종소량생산이필요한분야로써중소 / 중견기업의성장이충분히가능한산업 글로벌전력반도체공급업체의경우, 중소 / 중견기업의팹리스형태에서출발하여대기업 IDM수준으로성장한사례있음 국내중소. 중견기업은전력반도체에핵심인기술력및전력부품가격경쟁력이취약함으로상대적경쟁력이열세 유럽, 미국, 일본등의국가에서는경쟁력있는전력반도체기반기술 (IC, 소자, 모듈패키징 ) 을보유하고있으나국내기업의기술수준은선진국대비약 70% 이하에불과한상황 지능형모듈에사용되는전력 IC는외부기업체에는제공되지않고자체모듈인보호회로등의경쟁력확보에이용되는경우가많으며, 선진공급업체는구동용전력IC 솔루션을자체보유하고있어 SiP, 소자단품, 모듈패키징등에최적화된기술을확보하며제공중 한편, World Premier Material 사업 ( 산업부 ), 신형연구용원자로사업 ( 미래부 ), 국가나노팹사업 ( 부 221

230 전력반도체소자 처간융합사업 ) 등의소재및기반구축사업과연계하여전략적인추진을통해시너지창출가능 전력반도체산업의성장이지속적으로전망되는현시점에서메모리반도체에이어반도체강국으로재도약및신산업창출이필요한적기 전력반도체는대부분의전기전자기기의핵심부품으로써관련산업에서상당한파급효과를지니고있음 세계적으로성장가능성이유망한시스템반도체분야도메모리반도체분야에서의압도적인성장을이룩한산업구조를구축하여현재글로벌기업의기술력확보및선진기업으로의성장이절실히요구됨 (2) 산업의구조 반도체설계의경우중소반도체설계기업과국내반도체제조기업과의협업체제구축을위한연구가활발히진행중 장비의안정성과신뢰성에따라처리제품의품질을결정하는데영향력이높은문제점이있으므로, 다양한분야의융합에의한장비국산화가중요 전력반도체분야의주요시장은전기 하이브리드자동차, 신재생에너지, IT 융합산업, 항공우 주등을포함 시장잠재력이크고, 미래성장가능성이높은전력반도체시장에서글로벌경쟁력을확보하기위한기업육성필요 고부가가치의전세계파워반도체시장은 19년에 390억달러수준이될것으로전망 우리전력반도체기술은선진국대비 70% 수준이며, 수입의존도가 95% 에육박하는대표적무역적자품목 국내에중전기기, 자동차, 가전, 핸드폰등다수의세계적수요대기업이존재하고있어전력반도체로진출기업육성여건은충분 222

231 전략제품현황분석 [ 국가별반도체시장점유율비교 ] 전력반도체는다양한분야에서응용가능성이높기때문에우리나라의지속적인성장동력창출가능 미래국가경쟁력확보를위해선점하여야할핵심기술이며, 대표적수요산업인정보통신기기, 백색가전, 자동차산업은세계적경쟁우위산업으로지속적인성장을하고있기때문에이를기반으로한전력반도체산업이중요 국내아날로그반도체수요 3.4billion 중 95%($3.29B) 를수입에의존하는상황에서전력반도체국산화를통한국가주력산업의부가가치제고및경쟁력확보 [ 전력반도체의산업구조 ] 후방산업전력반도체전방산업 반도체소자소재산업, 반도체소자공정장비산업, 반도체소자기판산업, 반도체소자에피기판산업 SiC 전력반도체소자, GaN 전력반도체소자 (SBD, MOSFET) 전기 / 하이브리드자동차, 신재생에너지, IT 융합산업, 항공우주 나. 경쟁환경 전력반도체산업은그린에너지, 에너지절약, 전력효율화의추세에따라급성장중이나국내산 업경쟁력은취약 국내기업은파워반도체에대한기술력부족및전력부품가격경쟁력취약으로상대적경쟁력약세나타냄 전력반도체기술선도공급업체는구동용파워IC 솔루션도자체보유하고있어소자단품, SiP, 모듈등에최적화된기능을구현하여제공 미국, 일본, 유럽등의국가는대부분파워반도체기반기술 ( 소자, IC, 모듈 ) 을확보하고있으나국내기술수준은선진국대비약 70% 에불과 수요가급증하는고효율인버터등의전력반도체국내수요의대부분 ( 약 90% 이상 ) 을수입에의존하는상황 223

232 전력반도체소자 국내파워반도체산업은규모의영세성을벗어나지못해고부가가치제품생산이어려운악순환구조의생태계를보이고있음 국내중소 중견기업은글로벌기업의과점으로인해어려움을겪고있는상황 우수한인력을확보할수있는기반이취약하여핵심인재의유출로파워반도체산업의발전이어려운실정 국내전력반도체인력이충분하지않은상황에서, 외국계업체에서국내전력반도체고급인력을흡수하는상황 미국의파워아메리카 (Power America) 사업 14년미국에너지부 (USDoE) 가시작한와이드밴드갭 (WBG) 반도체개발및적용프로젝트로서전력신소재 SiC, GaN 기반반도체개발을핵심목표로시작 주도기관은노스캐롤라이나주립대, 참여기관으로 Cree, Delphi 등 12개기업체, 대학및국립연구소 7개, SiC 등고효율전력개발및적용으로장기적으로 690만가구분의전력소비량을절감할것을기대 파워아메리카 SiC 공용팹구축계획 : 텍사스주에소재한 X-팹 ( 기업체 ) 의 6인치실리콘반도체생산라인을활용하여 SiC 파워반도체공정을구축하여참여기관이 SiC 반도체의시험생산에활용 플로리다대학과애리조나대학, 노스캐롤라이나대학등 6개대학과 49개의기업으로구성된컨소시엄 (FREEDM) 을설립하여, 차세대전략인프라를구축하여전력반도체연구개발추진 일본정부는 14년 전략적이노베이션창조프로그램 (SIP) 프로그램의하나로차세대파워일렉트로닉스과제를시작 와이드밴드갭반도체 (WBG) 기반의고효율전력반도체용웨이퍼소재, 소자, 모듈및그응용기술개발을통하여 20년도쿄하계올림픽에해당기술을최대한적용한시스템구현목표 연구기간 5년 ( 14 19년) 으로 14년도과제예산은 22억엔 ( 약 220억원 ) 산 학 연 관을중심으로세계로봇기술을선도하며전력반도체 IDM 기업중심으로다양한종류의로봇제품군으로세계시장을주도 나고야공업대학 질화물반도체멀티비즈니스창업센터 는 13년을목표로경제산업성으로부터보조금 14억엔을지원받아총 22.7억엔으로본대학캠퍼스내에지상 3층의 GaN 전력반도체전용팹을설립 EU의경우, 신재생에너지보급확대및전력거래활성화에초점을둔 Smart Grid용전력반도체기술선도 다양한전력반도체개발프로그램추진하고있으며, 풍력, 태양광등의인버터적용차세대화합물소자개발등전력효율향상기술에집중 224

233 전략제품현황분석 전력반도체기술연구개발에주력하여글로벌기업으로서의선도를목표로하며 IDM기업중심으로다양한종류의전력반도체제품군으로세계시장을주도 유럽에서는인재육성을포함하여 03년에인피니온테크놀로지를중심으로많은회사로구성된차세대전력반도체를추진하는플랫폼 (ECPE) 확립 중국은송전계통강화 (HVDC) 및전력자원의최적배분에초점을두어전력반도체기술개발을선도 화동전력설계원, 무한고압연구소, 전력기획설계원과중국전력과학연구원등 6개부문이협력하여 HVDC 개발에주력 중국은세계 2번째에너지소비국으로써정부주도의직류송전공사컨설팅팀을구성하여중국내부의직류송전기술전문가를양성중 225

234 전력반도체소자 [ 제품분류별경쟁자 ] 구분 기술및관련기업 분류파워 MOSFET IGBT 전력모듈 IC 주요품목및기술 디바이스시뮬레이션, 이온주입및주입이온활성화기술, 계면결함제어기술, 미세패턴증착기술 디바이스시뮬레이션, Trench 게이트공정기술, 이온주입및주입이온활성화기술 모듈 / 소자 / 전력 IC 솔루션, 파워스케일링기술, CRT 기반기술, Eco-system 기술, 열방출및전력변환시뮬레이션기술, 미세패턴증착기술, SiP 기술, 소비전력 조절기술 모듈 / 소자 / 전력 IC 솔루션기술, 소자단품기술, SiP 기술, 미세패턴기술, 개별소자 PKG 기술, 컨트롤용파워 IC 보호회로 기술 해외기업 CREE, Ascatron, Infineon, Toshiba, GeneSiC, Microsemi CREE, Infineon, Fuji Electric, Mitsubishi, Rohm, Renesas, Mitsubishi, Infineon, Semikron, Fuji Electric, Hitachi, Danfoss Raytheon, GeneSiC, United Silicon Carbide 국내기업 파워큐브세미, KEC, 매그나칩, Maple 세미컨덕터, 파워디바이스, 세미하우, Trinnotech 파워큐브세미, KEC, 매그나칩, Maple 세미컨덕터, 세미하우, Trinnotech 매그나칩, 에스피반도체통신, 삼성전기, 하이브론, AMKOR, DS 파워텍, KEC, LS 산전, SDPST 동부하이텍, 삼성전자, 실리콘마이스터, 실리콘핸즈, 실리콘웍스, 제퍼로직, ia LG 전자, 매그나칩, MAPS, SK 하이닉스 다. 전후방산업환경 전방산업인전기자동차를중심으로고온, 고전압에대한고효율화요구에따라전력반도체수 요증가예상되는가운데후방산업인장비, 소재산업은높은수입의존도에대한문제로인해 모바일기기, 가전기기용, 전력관리칩등의생산기술개발달성노력필요 226

235 전략제품현황분석 3. 시장환경분석 가. 세계시장 전력파워반도체시장은메모리 (DRAM, NandFlash), CPU 시장과비슷한규모로, 광소자시 장의 2 배인시장으로전력반도체중에서 MOSFET 은약 45% 를차지하며, IGBT 는약 10% 를 차지 고전압 고전류를요하는전기 / 하이브리드자동차, 신재생에너지등의전방산업의수요로인해 전력반도체시장은연평균 8% 의증가율을보이며, 15 년 164 억달러규모에서 20 년 241 억 달러규모로급성장할것으로전망 [ 전력반도체세계시장규모및전망 ] ( 단위 : 억달러, %) CAGR 구분 ('13~'15) 세계시장 * 자료 : Yano Research Institute Ltd. 2014, 반도체중소기업기술로드맵 (2015) 자료를바탕으로전망치추정 MOSFET, IGBT와같은개별전력반도체소자시장규모는 15년 114억달러에서 19년 135억달러수준으로성장예상 실리콘기반의 MOSFET과 IGBT 등의시장은, 향후 SiC나 GaN 등의전력신소재기반시장이확대될것으로전망 휴대폰, 노트북, 에어컨, 냉장고등다양한가전제품외에하이브리드카, 전기차등에도전력신소재 SiC가적용됨에따라관련파워반도체수요가급증할것으로예상 IoT(Internet of Things) 시장의등장으로, 20년약 440억달러규모의신규반도체시장이형성될것으로예상되며, 이중에서 Smart 전력관리 SoC* 시장 은약 120억달러규모로예상 Smart 전력관리 SoC 는소자뿐만아니라, 회로분야산업으로활용범위를확대할수있는전력반도체를집적한시스템반도체의한부분임 227

236 전력반도체소자 나. 국내시장 국내전력반도체시장규모는 2015년기준 2조 700억원규모로추산되는가운데고효율인버터, PMIC 등관련전력반도체수요가증가하여연평균성장률은 2.8% 로 2020년에는 2조 3700억규모의시장으로성장할것으로예상 국내전력반도체시장을이끌고있는산업으로는전기 하이브리드자동차분야를중심으로고전력산업시장에영향력이높으며최근에는전자부품의적용이늘어가고있는추세로향후에는전자, 통신등의분야의영향이증가할것으로예상 실리콘마이터스같은전력반도체 IC 팹리스업체가 1,000억원이넘는매출을보이고있으며, KEC, AUK 같은중견기업도 MOSFET, small signal Tr 등에서 1,000억원이상의매출을올리고있으나, 품목이제한적이고핵심기술용고부가가치제품 (IGBT, Thyristor 등 ) 및차세대전력신소재기반반도체실적은미비 [ 전력반도체의국내시장규모및전망 ] 구분 ( 단위 : 억원, %) CAGR ('13~'15) 국내시장 20,700 21,280 21,870 22,480 23,030 23, * 자료 : 아이서플라이, 반도체중소기업기술로드맵 (2015) 자료등을바탕으로전망치추정 228

237 전략제품현황분석 다. 무역현황 전력반도체의세계시장은성장단계에있으나국내산업은도입단계인바, 국내기업의반도체 시장점유율은 3% 이하이며기술수준은선진국대비 50~70% 수준 전력반도체로무역현황을분석하는데한계가있어수출품목중반도체다이오드품목의무역현황을살펴보았으며, 수출량에비해수입량의감소폭이다소큰추세 전력반도체의수출현황은 11년 2억 2,100만달러에서 15년 2억 6백만달러수준으로지속적으로감소하였으며, 수입현황은 11년 4억 5,900만달러에서 15년 3억 9,400만달러수준으로감소하여무역수지적자폭이축소되었으나여전히수입량이많아무역수지적자기조지속 최근 5년 ( 11-15년) 간연평균성장률을살펴보면수출금액은 1.8% 씩감소하였으며, 수입금액은 -3.7% 씩감소한것으로나타남 [ 전력반도체관련무역현황 ] 구분 ( 단위 : 천달러, %) CAGR ('11~'15) 수출금액 221, , , , , 수입금액 459, , , , , 무역수지 -237, , , , ,011 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 * 주 : ( 다이오드 ) 로분류 229

238 전력반도체소자 4. 기술환경분석 가. 기술개발트렌드 스마트폰 노트북같은모바일기기의증가와전기자동차의개발과맞물려전력반도체 적용영역의확대 전력반도체는전력을시스템에맞게배분하는제어와변환기능을가진소자이며, 에너지를절약하고제품을축소하기위하여전력공급장치나전력변환장치에사용 전력반도체는전기에너지를활용하기위해직류교류간의전력변환 (AC DC), 전력변압 ( 강압, 승압 ), 전력안정 (Power Stabilization), 전력분배 (Power Management) 및제어 (Power Control) 등을수행하는데사용되는반도체 전력반도체는전력을생산하는발전단계부터사용하는단계까지여러단계에서다양한역할을수행 자료 : 전자신문 [ 전력반도체의역할 ] 전력반도체는다양한분야에연관응용중 컴퓨팅 통신 가전 산전 자동차등의전자장치에적용되며최근에는스마트폰을비롯한모바일기기의증가와전기자동차의개발과맞물려적용범위가확대 구체적으로살펴보면고속스위칭, 전력손실최소화, 소형칩사이즈, 발열처리등과관련한 R&D가활발하게이루어져 LDI 휴대형기기 가전기기 신재생에너지 자동차등에사용되는각종부품의절전화및친환경화에중요한역할을수행 230

239 전략제품현황분석 자료 : 전자신문 [ 전력반도체의사용분야와종류 ] 전력반도체는개별소자, 직접회로모듈로구분 응용분야와내압특성에따라개별소자 (Device), 집적회로 (IC) 및다중소자를 package로집적한모듈 (Module) 로나뉘며산업응용분야에따라전력레벨이다른반도체소자가사용 개별소자는 Device 혹은 Discrete이라불리며전력변환및전력제어등에사용되는반도체소자이며이들개별소자는 Package에집적화된모듈로제품화 전력반도체소자는전력변환이나전력제어를담당하는반도체디바이스로서, 다이오드, 파워트랜지스터, 사이리스터 (thyristor) 등으로구분되며크게는키고끄는동작 (On-Off) 을할수있는스위치소자와정류작용을하는정류소자로분류 사이리스터와트랜지스터가스위치소자에속하고, 다이오드는정류소자에속한다. 파워트랜지스터의하위분류로바이폴라트랜지스터, 파워 MOSFET(Metal Oxide Silicon Field Effect Transistor), IGBT(Insulated Gate Bipolar Transistor) 등이포함 직접회로는주로 Power IC로불리며각종 Driver IC로구성 수십억개의전자부품과개별소자들을한개의칩속에집적한소자로개별소자를제어하는역할을수행하며별도의패키지를통해제품화되거나 IGBT 등개별소자와함께모듈로도사용 직접회로는주로 Power IC로불리며각종 Driver IC로구성 모듈은개별소자여러개를한패키지안에넣어성능을향상 ( 전압, 전류상승 ) 시키거나컨트롤용 231

240 전력반도체소자 Power IC 와보호회로등을추가로넣어하나의패키지로집적한것을지칭 전력반도체의고속스위칭과낮은손실특성을휘한 WBG(Wide Band Gap) 화합물반 도체 (SiC, GaN 등 ) 기반의전력반도체개발필요 탄화규소 (SiC) 와질화갈륨 (GaN) 소재를이용한차세대전력반도체 실리콘은 1960년대부터전력반도체의소재로사용됐으며그뒤로전력반도체의구조는계속바뀌어왔으나소재는실리콘소재를지속적으로사용 그러나내압, 작동온도, 전류측면에서더가혹한환경이요구되면서소재에대한변화필요성이대두 현재개발중인대표적인차세대전력반도체물질이탄화규소 (SiC) 와질화갈륨 (GaN) 로둘모두실리콘보다우수한물질특성을보유 탄화규소를이용한전력반도체기술은일부상용화되었으나질화갈륨을이용한전력반도체기술은탄화규소를이용한전력반도체기술대비아직초기단계 자료 : Yole Development(2012) [ 전력반도체의소재별성능비교 ] 차세대전력반도체란기존 Si 기반의반도체소자를포함하고, WBG(Wide Band Gap) 화합 232 물반도체 (SiC, GaN 등 ) 기반의소자로제작하여열특성향상, 속도강화, 고전압 / 고전류가능

241 전략제품현황분석 및스위칭손실최소화등이가능한전력반도체로정의 전력변환장치에적용되는전력반도체는구동시발생되는스위칭손실로인해효율이저하 이때문에고효율, 고부가가치제품을만들기위해서는보다높은응답속도및전력소비를최소화하기위한새로운재료가필요 탄화규소 (SiC) 와질화갈륨 (GaN) 은 Si보다에너지밴드갭이큰데이경우고속스위칭특성과낮은손실특성모두를가진전력반도체를설계, 제조하는것이가능한데. 즉, 보다고온 고전압에서작동및소형화가가능 전력반도체의기술적산업적특성을반영한기술개발필요 전력반도체는다품종소량생산의대표적비즈니스 전력반도체가손상될경우전기공급자체가중단되어기기가작동하지않기때문에높은내구성과신뢰성으로인해높은시장진입장벽이존재 전력반도체는설계, 공정, package 및 module 프로세스가유기적으로연결된일체형산업으로칩설계및제조공정은 package 및 module의특성을우선감안하여진행되어야되며소자를만들어도표준패키지형태와고전압, 고전류를사용하는, 별도의특정분야 ( 예 : 전기자동차, 로봇등 ) 에특화된형태의제작이병행 자료 : 산업자료 [ 전력반도체의가치사슬 (Value Chain) ] 소량다품종생산특성과공정, 패키지, 모듈담당기업이핵심이되는분야로하나의제품만으로 는큰시장을점유하기어렵기때문에다방면의포트폴리오제품군이필요하며공정, 패키지, 모 233

242 전력반도체소자 듈기술노하우가중요 인피니언 (Infineon) 의경우, IGBT 개별소자 (Discrete) 제품군만약 180여종이존재 시장에서제품마다다양한스펙을요구하다보니기본설계 / 공정기술을바탕으로수요자니즈에따제품스펙을조절하는형태로제품을출시 나. 주요업체별기술개발동향 (1) 해외업체동향 전력반도체시장에서경쟁하고있는기업들은인피니온 (Infineon), 미쓰비시전기, 도시바, ST 마이크로 (ST Microelectronics) 등유수의비메모리반도체기업 전력반도체시장을 60% 이상점유하고있는랭킹 20위권내기업들은과거수십년간비슷한점유율을유지하고있으며, 신규로진입하는기업이없는상당히고착화된시장구조를형성 전력반도체유형은디스크리트 (Discretes) 와파워모듈 (Power Modules) 로분류 특징적인것은시장점유율순위가유형별로도차이가있다. 디스크리트는도시바, 비쉐이 (Vishay) 등의기업이높은점유율을유지하고있는반면, 파워모듈은미쓰비시, 세미크론 (Semikron) 등이높은점유율을유지 인피니온은디스크리트와파워모듈에서경쟁우위를점하고있어서업계의절대강자 * 자료 : IMS Research [ 전력반도체기업별 / 제품형태별시장점유율 ] MOSFET( 금속산화벽반도체전계효과트랜지스터 ) 는수퍼-정션이대세이며, MOSFET과 IGBT( 절연게이트양극성트랜지스터 ) 는 R&D보다는제품개발관점에서진행중 IGBT는백사이드에 thinning, 얇게 50μ까지어떻게효과적으로좋은수율로얻을수있는가를중점적으로연구개발진행중 SiC는가격대비성능관점에서 6인치로, 저항을줄이기위해서트렌치모스로가야하고, GaN은기 234

243 전략제품현황분석 본특성은우수하지만신뢰성문제가있는데가까운장래에해결될전망 차세대웨이퍼는기존실리콘웨이퍼보다전력손실을크게줄일수있는탄화규소, 질화갈륨웨이퍼개발에주력 실리콘웨이퍼에비해대전류, 고전압에강하고발열특성도뛰어나전력량을줄일수있어, 2020년이후가전, 자동차, 지하철, 송전망등에서사용될전망 차세대웨이퍼가웨이퍼시장의주류로자리잡기위해서는대구경화를통한가격경쟁력확보가필요 주요업체별동향으로는인피니온의경우전력반도체업계의 1위로선도적인제품포트폴리오를구축을통해시장을선도 인피니언은업계최초로 300mm웨이퍼를이용한전력반도체 (CoolMOS) 를생산 SiC와 GaN 등의신소재연구를진행 MOSFET은자동차용중심으로, IGBT는산업용과신재생에너지중심으로사업을적극적으로추진 도시바는가전용 MOSFET 시장의가격압박과 IT 제품수요의침체, 환율등의영향으로사업 에난항을격는중 ST마이크로는매출액의 60% 가가전 /IT기기용에편중되어있어서아시아시장에적극적으로진출중 신형스마트폰과전자기기에사용되는소형전력반도체및 MDmesh ⅡPlusTM Low Qg MOSFET을출시 또한, GM의하이브리드카볼트 (Volt) 에 DC/DC 컨버터용으로 MOSFET을공급하면서신규자동차시장에서의기술력을인정 TI 는고주파수 고효율전력관리반도체기업씨클론을인수했고내셔널세미컨덕터와합병해 LED 조명, 의료전자, 전기차, 무선충전등에진출 내셔널세미컨덕터는 100V 의고전압소자및 95% 이상효율의스위칭레귤레이터를제공하 고, 아우디 AG 에모듈식인포테인먼트장치기술용 IC 와서브시스템을제공 미쓰비시전기는다른일본기업보다균형있는제품포트폴리오를통해다양한방면으로사업 진출추진 기존 IT 관련시장뿐만아니라자동차용제품의매출비중증가 235

244 전력반도체소자 [ 전력반도체발전방향 ] (2) 국내업체동향 국내는원천기술부족과해외특허등으로인해 2조 7천억원으로추산되는국내전력반도체시장의 90% 이상을수입에의존 Discrete 전력반도체의 90%, 고집적전력반도체의 95% 를미국 (TI, National Semiconductor, Maxim, Supertex), 유럽 (Infinion,STM) 과일본 ( 미쓰비시전기, 르네사스, 후지전기 ) 등의수입에의존 기술수준은선진국대비 50 70% 에불과할정도로진입장벽이존재 고집적 BMIC, 수소연료전지차용 PMU(Power Management Unit), Smart PFC, 오디오프로세 서등은발아기로선진국에비해기술수준이 50% 에불과 대기업군의 IDM에서전력반도체산업진출을공식화하여진행중이나 4~5년이지난현재에도괄목할만한성과는전무한수준 국내전력모듈분야의선도적기업인 LS산전등에서산업용 600V 200A급 6-PACK 수준의기술을확보하고있으며자동차용에서요구하는 Solder-Free 및고 Thermal / Electrical / Mechanical / Environmental 신뢰성의제품에는핵심원천기술이부족한상태로일본등선진기업의기술수준에크게뒤쳐진수준 중소기업의경우, 실리콘웍스, 실리콘마이터스같은팹리스업체가전력반도체분야에서일정부분매출을보이고있으나일부제품에국한돼있으며그나마성장이정체되거나느림 KEC, AUK 같은중견기업도 MOSFET, Transistor 등제한적품목에서매출을올리고있을뿐고부가가치제품인 IGBT, 사이리스터등의판매실적은미미 236

245 전략제품현황분석 다. 기술인프라현황 중소기업청에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록지원하는연구장비공동활용지원사업을운영 중소기업청산하중소기업기술정보진흥원을통해대학및연구기관이보유한연구장비를소프트웨어의중소기업공동활용을지원하여국가장비활용도제고와중소기업기술경쟁력향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 * 자료 : 중소기업기술정보진흥원 [ 연구장비공동활용지원절차 ] 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형 실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수 있는인프라를제공 237

246 전력반도체소자 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소 중견기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 자료 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교육을진행 238

247 전략제품현황분석 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 자료 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 239

248 전력반도체소자 라. 특허동향분석 (1) 연도별출원동향 전력반도체소자기술의지난 7년 ( 10~ 16) 간출원동향 24) 을살펴보면 12년까지지속적으로증가추세를보이다가그이후소폭감소추세로전환되었으나, 최근까지출원건이유지되고있어지속적으로전력반도체소자관련기술개발활발 각국가별로살펴보면한국과일본은비슷한출원경향을보이고있으며한국은 13년도까지지속적인증가추세를보이다가그이후소폭감소하는경향을보이고있고, 일본은 12년도까지증가추세를보이다가그이후감소추세 국가별출원비중을살펴보면미국이전체의 35.7% 로최대출원국으로전력반도체소자기술 에리드하고있는것으로나타났으며, 일본은 31.5%, 한국 25.1%, 유럽은 7.7% 순으로나 타남 [ 전력반도체소자분야연도별출원동향 ] 24) 특허출원후 1 년 6 개월이경과하여야공개되는특허제도의특성상실제출원이이루어졌으나아직공개되지않은미공개데이터가존재하여 2015, 2016 년데이터가적게나타나는것에대하여유의해야함 240

249 전략제품현황분석 (2) 국가별출원현황 한국의출원현황을살펴보면 13년까지지속적인증가추세를나타냈으나, 14년도이후로소폭감소세로전환되는경향 한국의내국인출원비중은최근까지계속증가하는추세를나타내고있으며, 외국인출원비중이내국인보다작게나타나는것으로보아국내시장에대한외국인의선호도가높지않은것으로추정 미국의출원현황은 11 년까지증가추세를보이다가감소, 다시 14 년까지증가추세를보이고 있으며, 미국의외국인출원비중이지속적으로증가하고있으며, 최근외국인비중이높게나 타나고있어외국출원인의미국내출원이활발 일본의출원현황은 13 년까지소폭증가하다가이후소폭감소하는추세를보이고있으며내국 인의출원비중이해마다높게나타나일본내기술력이높은것으로추정 유럽의경우미국과비슷한출원경향을보이고있는데 11 년까지증감을반복하다가 12 년이 후최근까지지속적인증가추세를보이고있으며, 유럽내외국출원인의비중이월등히높게 나타나는것이특징 [ 국가별출원현황 ] 241

250 전력반도체소자 (3) 투입기술및융합성분석 전력반도체소자분야의투입기술을확인하기위하여특허분류코드인 IPC Code 25) 를통하여살펴본결과전력반도체소자분야의가장높은 IPC는 H01L 기술분야가 1217건으로가장많이차지하고있으며, 이어서 H02J이 105건, H02M가 86건으로다수를차지 이외에 G01R 71건, G06F 70건, H03K 54건, H04W37건, H05K26건, F03B 25건, H04L 24 건순으로기술이투입되어있어전력반도체소자분야에다양한기술이융합되어존재 더불어해당 IPC 의특허인용수명을살펴보면 F03B 기술분야의수명이 11 년으로가장긴것으로 나타났으며, H04W 기술분야는 4 년으로가장짧은것으로분석 [ 전력반도체소자분야상위투입기술 ] IPC 기술내용특허인용수명 (TCT) 26) H01L 반도체장치 ; 다른곳에속하지않는전기적고체장치 6 년 H02J 전력급전또는전력배전을위한방식 ; 전기에너지축적하기위한방식 7 년 H02M 교류 - 교류, 교류 - 직류또는직류 - 직류변환장치및주요한또는유사한전력공급장치와함께사용하기위한장치 ; 직류또는교류입력의서지출력변환 ; 그것을제어또는조정 6 년 G01R 전기변량의측정 ; 자기변량의측정 7 년 G06F 전기에의한디지털데이터처리 6 년 H03K 펄스기술 6 년 H04W 무선통신네트워크 4 년 H05K 인쇄회로 ; 전기장치의상체또는구조적세부, 전기부품의조립체의제조 6 년 F03B 액체용기계또는기관 11 년 H04L 디지털정보의전송 6 년 25) 전세계적으로통용되고있는국제특허분류 (IPC: International Patent Classification) 를통해특허정보기술분야에서공지기술을조사할수있으며, 기술및권리정보에용이하게접근가능 26) 특허인용수명지수는후방인용 (Backward Citation) 에기반한특허인용수명의평균, Q1, Q2( 중앙값 ), Q3 에대한통계값을제시함. 특히이와같이산출된 Q2 는 TCT(Technology Cycle Time, 기술순환주기또는기술수명주기 ) 라고부름 242

251 전략제품현황분석 투입기술이가장많은 H01L 분야와융합이높게이루어진기술은 H05K 분야로나타났으며, C07D, C08G 분야와도융합된기술의건수가높은것으로분석 이외에 H02J 분야와융합된기술은 H04B, G01R, H04L 분야와융합된기술이많은것으로나타났으며, H02M 분야와융합된기술은 H03K, G03G, G05F 기술로분석 [ 전력반도체소자분야 IPC 기술및융합성 ] (4) 주요출원인분석 세계주요출원인을살펴보면주로일본의출원인이다수의특허를보유하고있는것으로나타났으며, 반도체분야의출원인이대부분 주요미국출원인을살펴보면 MITSUBISHI ELECTRIC, TOSHIBA, FUJI ELECTRIC, TOYOTA MOTOR, DENSO 등반도체, 자동차및부품전문기업이다수출원을하고있는것으로나타났으며, 이들일본출원인은주로일본본국에출원건수가높은것으로나타남 한국출원인으로는삼성전기, 삼성전자, 엘지이노텍등의기업이상위출원인으로나타나전력반도체소자관련기술을다수보유 가장많은특허를보유하고있는 MITSUBISHI ELECTRIC의 3극패밀리수가 10건으로다국적으로시장을확보하며출원을하고있는것으로보이며, 삼성전기는 0건으로다국적시장을확보하기위한해외출원이미흡 243

252 전력반도체소자 미국기업인 ALPHA & OMEGA SEMICONDUCTOR 가확보한특허의피인용지수가 2.79 로 가장높게나타나기술의파급성이높은원천기술을다수보유하고있는것으로분석됨 [ 주요출원인의출원현황 ] 주요출원인 MITSUBISHI ELECTRIC 국가일본 주요 IP시장국 ( 건수 %) 한국 미국 일본 유럽 % 3% 83% 0% IP시장국종합 3극패밀리수 ( 건 ) 피인용지수 일본 주력기술분야 철도용전력반도체소자, 전력반도체모듈기술 삼성전기 한국 % 6% 11% 0% 한국 전력반도체소자패키지모듈기술 삼성전자 한국 % 41% 5% 7% 한국 전력반도체모듈기술 TOSHIBA 일본 % 11% 86% 0% 일본 고내압용전력반도체소자기술 SEMIKRON ELEKTRONIK 독일 % 0% 21% 0% 한국 전력반도체모듈기술 FUJI ELECTRIC 일본 % 17% 83% 0% 일본 전력반도체소자전류검출회로기술 엘지이노텍 한국 % 5% 5% 3% 한국 전력반도체소자구조기술 TOYOTA MOTOR DENSO 일본일본 % 16% 76% 3% % 41% 59% 0% 일본 일본 저 / 고속스위칭용전력반도체소자기술저온전압화및고속스위칭화전력반도체소자기술 ALPHA & OMEGA SEMICONDUCTOR 미국 31 0% 100% 0% 0% 미국 전력반도체소자패키징기술 244

253 전략제품현황분석 (5) 국내출원인동향 국내출원인동향을살펴보면대기업은삼성전기의출원건수가가장높게나타났으며, 중소기업에서는 트리노테크놀로지의출원건수가높게나타남 대기업의주요출원인은대기업의주요출원인은엘지이노텍, 주식회사케이이씨, 삼성전자, 엘에스산전, 페어차일드코리아반도체등이있으며, 중소기업의주요출원인은매그나칩반도체유한회사, 메이플세미컨덕터 ( 주 ), 파워큐브세미 ( 주 ), 국제통신공업, 주식회사에코세미텍, 주식회사루비등이주요출원인인것으로나타남 기업이외의주요출원인을살펴보면한국전자통신연구원, 한국전기연구원, 전자부품연구원, ( 재 ) 한국나노기술원, 한국철도기술연구원, ( 재 ) 한국나노기술원, 한국에너지기술연구원등이활발한연구개발을하고있으며, 대학의경우에는인하대학교, 한양대학교, 전남대학교, 서울대학교, 부산대학교, 광운대학교, 울산과학기술원, 서강대학교, 연세대학교, 단국대학교등다양한대학교에서연구개발을하고있는것이특징으로분석됨 [ 국내주요출원인의출원현황 ] 245

254 전력반도체소자 5. 중소기업환경 가. 중소기업경쟁력 전력반도체소자분야의중소기업경쟁력은기술분류별로차이가있으나전체적으로참여규모와 정도가크지않은상황임 [ 전력반도체소자분야중소기업현황 ] 분류주요기술대기업중소기업 중소기업 참여영역 중소기업 참여정도 파워 MOSFET 디바이스시뮬레이션, 이온주입및주입이온활성화기술, 계면결함제어기술, 미세패턴증착기술 KEC, 매그나칩 파워큐브세미, Maple 세미컨덕터, 파워디바이스, 세미하우, Trinnotech 계면결함제어기술, 미세패턴증착기술 IGBT 디바이스시뮬레이션, Trench 게이트공정기술, 이온주입및주입이온활성화기술 KEC, 매그나칩, 파워큐브세미, Maple 세미컨덕터, 세미하우, Trinnotech Trench 게이트공정기술, 이온주입및주입이온활성화기술 전력모듈 모듈 / 소자 / 전력 IC 솔루션, 파워스케일링기술, CRT 기반기술, Eco-system 기술, 열방출및전력변환시뮬레이션기술, 미세패턴증착기술, SiP 기술, 소비전력조절기술 매그나칩, 삼성전기, KEC, LS 산전, 에스피반도체통신, 하이브론, DS 파워텍 모듈 / 소자 / 전력 IC 솔루션, 미세패턴증착기술, SiP 기술, 소비전력조절기술 IC 모듈 / 소자 / 전력 IC 솔루션, 파워스케일링기술, CRT 기반기술, Eco-system 기술, 열방출및전력변환시뮬레이션기술, 미세패턴증착기술, SiP 기술, 소비전력조절기술 동부하이텍, 삼성전자, LG 전자, 매그나칩, SK 하이닉스, 실리콘웍스, 실리콘마이스터, 실리콘핸즈, 제퍼로직 모듈 / 소자 / 전력 IC 솔루션, 미세패턴증착기술, SiP 기술, 소비전력조절기술 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 246

255 전략제품현황분석 나. 중소기업기술수요 차세대디스플레이용기능성필름분야의중소기업의기술수요를파악하기위하여중소기업기 술수요조사및중소기업청 R&D 신청과제 (2013~2015 년 ) 를분석한결과아래표의내용과같은 수요들이있는것으로분석 [ 전력반도체소자분야과제신청현황및수요조사결과 ] 전략제품기술분류관심기술 아날로그회로설계 고전압 / 고전류반도체설계기술전력변환회로설계기술 전력반도체소자 반도체제작 화합물반도체제작기술 공정 전력소자핵심공정기술 247

256 전력반도체소자 다. 중소기업핵심기술 (1) 데이터기반요소기술발굴 전력반도체소자기술의특허및논문데이터검색을통해도출된유효데이터를대상으로데이터마이닝기법 (Scientometrics 기법 ) 을통해클러스터링된키워드의연관성을바탕으로요소기술후보군을도출 전력반도체소자기술의특허및논문유효데이터를기반으로키워드클러스터링을통하여 12개의요소기술후보군을도출 제품별 dataset 구축 : 전력반도체소자기술관련특허 / 논문데이터를추출하여노이즈제거후제품별 dataset 구축 1차클러스터링 : 키워드맵을통한고빈도키워드확인-빈도수 (tf-idf) 27) 가상위 30% 에해당하는키워드를대상으로 1차추출 2차클러스터링 : 1차클러스터링에서추출된고빈도키워드사이에서고연관도키워드를 2차추출 ( 고연관도기준은연관도수치 28) 가 2이상인클러스터로제한 ) 다음그림은키워드간연관네트워크를시각화한것으로, 각키워드를나타내는원과키워드간의연관도를나타내는직선으로구성 각키워드가특허와논문중어느데이터에서도출되었는지원의색으로구분하였으며, 키워드로도출된클러스터는황색음영으로표시 키워드를나타내는원은고빈도의키워드일수록원의크기가크게표현되며, 연관도를나타내는선은키워드사이의연관도수치가높을수록굵게표현 전력반도체소자기술전략제품의특허 논문유효데이터에대하여키워드클러스터링결과를기반으로요소기술도출 데이터기반의요소기술도출은키워드클러스터링을통해도출된요소기술에대하여전문가의검증및조정을통하여요소기술을도출 27) 빈도수 (tf-idf) : 각키워드가출현되는특허또는논문수를의미 28) 연관도수치 : 두개이상의키워드사이의특허또는논문수를의미 248

257 전략제품현황분석 [ 전력반도체소자기술분야키워드클러스터링 ] [ 전력반도체소자기술분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 power, semiconductor, high 4~7 1. powerhigh-speed high-power semiconductor devices 2. powersemiconductor DEVICE, FABRICATION METHOD FOR SEMICONDUCTOR DEVICE, POWER SUPPLY APPARATUS AND HIGH-FREQUENCY AMPLIFIER 3. powerhigh voltage power semiconductor device on SiC 클러스터 02 power, semiconductor, SiC 4~7 1. powersic semiconductor power device 2. powerhigh VOLTAGE POWER SEMICONDUCTOR DEVICES ON SiC 클러스터 03 power, semiconductor, carrier 4~7 1. powerpower semiconductor module with sealing device for sealing to a substrate carrier and method for manufacturing it 2. powerlateral power semiconductor device for high frequency power conversion system, has isolation layer formed over substrate for reducing minority carrier storage in substrate 249

258 전력반도체소자 No 주요키워드연관도수치관련특허 / 논문제목 클러스터 04 power, semiconductor, nitride 4~8 1. poweriii-nitride Power Semiconductor Device 2. powergroup III nitride semiconductor device which can be used as a power transistor 3. powergallium nitride power semiconductor device having a vertical structure 클러스터 05 power, semiconduct vertical 4~8 1. powermethod FOR MANUFACTURING VERTICAL SUPER JUNCTION DRIFT LAYER OF POWER SEMICONDUCTOR DEVICES 2. powerflexibly scalable charge balanced vertical semiconductor power devices with a super-junction structure 클러스터 06 power, semiconduct, ring 5 1. powersemiconductor device with combined power and ground ring structure 2. powerstructure and method for forming a guard ring to protect a control device in a power semiconductor IC 클러스터 07 power, semiconduct, wafer 5 1. powersemiconductor Device and Method of Forming Wafer Level Ground Plane and Power Ring 2. powerwafer level packaged GaN power semiconductor device and the manufacturing method thereof 클러스터 08 power, semiconductor package 4 1. powerpower SEMICONDUCTOR PACKAGE DEVICE HAVING LOCKING MECHANISM, AND PREPARATION METHOD THEREOF 2. powercombined PACKAGED POWER SEMICONDUCTOR DEVICE 3. powerhigh speed, low loss and high density power semiconductor packages (μmaxpak) with molded surface mount high speed device(s) and multi-chip architectures 클러스터 09 power, substrate 4~8 1. powerintegrated power device on a semiconductor substrate having an improved trench gate structure 2. powermulti-wire electrical discharge machining system, multi-wire electrical discharge machining apparatus, power supply device, multi-wire electrical discharge machining method, semiconductor substrate, solar cell substrate, substrate manufacturing system, and substrate manufacturing method 클러스터 10 power, IC 4~7 1. powersemiconductor DEVICE, SWITCHING POWER SUPPLY CONTROL IC, AND SWITCHING POWER SUPPLY DEVICE 2. powersemiconductor DEVICE, CONTROL IC FOR SWITCHING POWER SUPPLY, AND SWITCHING POWER SUPPLY UNIT 250

259 전략제품현황분석 [ 전력반도체소자분야데이터기반요소기술 ] No 요소기술명키워드 요소기술 01 저전압아날로그회로설계기술 low voltage, analog circuit 요소기술 02 고전압 / 고전류반도체설계기술 high voltage, planning 요소기술 03 전력변환회로설계기술 power conversion, circuit 요소기술 04 배터리충전회로설계기술 battery charge, circuit 요소기술 05 전압스케일링회로설계기술 voltage, scaling 요소기술 06 화합물반도체제작기술 compound, manufacturing 요소기술 07 이종반도체집적기술 hetero, accumulation 요소기술 08 반도체소자기판성장기술 board, growth 요소기술 09 반도체소자에피기판성장기술 epitaxy, growth 요소기술 10 전력반도체소자제조공정기술 power, device, manufacturing process 요소기술 11 전력반도체모듈제조공정기술 power, module, manufacturing process 요소기술 12 실리콘기반전력반도체소자기술 silicone, power, device 요소기술 13 화합물기반전력반도체소자기술 compound, power, device 요소기술 14 전력반도체소자모듈기술 power, module 요소기술 15 전력반도체소자회로기술 power, circuit 251

260 전력반도체소자 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ 전력반도체소자분야요소기술도출 ] 분류요소기술출처 회로설계기술 저전압아날로그회로설계고전압 / 고전류반도체설계전력변환회로설계배터리충전회로설계기술전압스케일링회로설계기술화합물기반전력반도체소자기술반도체소자에피기판성장기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 소자기술 실리콘기반전력반도체소자기술 특허 / 논문클러스터링 전력반도체소자제조공정기술 특허 / 논문클러스터링 반도체소자기판성장기술 특허 / 논문클러스터링 모듈패키징기술 전력반도체모듈제조공정기술 전력반도체소자모듈기술 전력반도체소자회로기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 화합물반도체제작기술 특허 / 논문클러스터링 이종반도체집적기술 특허 / 논문클러스터링 252

261 전략제품현황분석 (3) 핵심기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심기술선정위원회를통하여중소기업에적합한핵심기술선정 핵심기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업적합성 (10) 을고려하여평가 [ 전력반도체분야핵심기술 ] 분류핵심기술개요 저전압아날로그회로설계 전력반도체의저전압기본공정중하나로서, 전류 - 전압스위칭특성의변동이큰아날로그회로설계기술 회로설계기술 고전압 / 고전류반도체설계 WBG( 화합물반도체 ) 물질 (SiC, GaN..) 기반의소자로열특성향상, 속도강화, 고전압 / 대전류가능및스위칭손실최소화등이가능하도록하는회로설계기술 전력변환회로설계 전력반도체의핵심특성인에너지고효율개선특성에맞게소자동작시, 전력변환손실이적도록만들어주는회로설계기술 소자기술 화합물기반전력반도체소자기술 반도체소자에피기판성장기술 WBG 소자로서 SiC, GaN 이외에 ZnO, CuI 등소자제작공정조건확보및최적화기술필요 MBE, CVD 등기존에확보된공정조건포함다른에피성장기술확보목표 전력반도체모듈제조공정기술 웨이퍼수준에서의개별소자여러개를한 package 안에넣어서성능향상이목표. 컨트롤용파워 IC 및보호회로추가삽입기술필요 모듈패키징기술 전력반도체소자모듈기술 전력반도체모듈의신뢰성을높이고안정적동작을위해구동및보호용 IC 내장기술필요 전력반도체소자회로기술 저전압, 고전압 / 대전류, 전력변환등전력반도체소자내부회로설계기술 253

262 전력반도체소자 6. 기술로드맵기획 가. 전력반도체소자기술로드맵 254

263 전략제품현황분석 나. 연구개발목표설정 [ 전력반도체분야핵심기술연구목표 ] 분류핵심기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 저전압아날로그회로설계 SNR (f in =1kHz) 80dB 90dB 100dB 100dB 회로설계기술 고전압 / 고전류반도체설계 전압 / 전류 (V/A) 3.3kV /10A 3.3kV /20A 3.3kV /40A 고내압 / 고신뢰성평가기술확보 전력변환회로설계 스위칭손실저감 20% 저감 30% 저감 60% 저감 전력변환시스템설계및제어기술확보 화합물기반전력반도체소자기술 온저항 R DS(on) (VB=1200V) 50mΩ 35mΩ 20mΩ >20mΩ 소자기술 반도체소자에피기판성장기술 결함밀도개선 1ea/cm 2 0.5ea/cm 2 0.1ea/cm 2 결함밀도개선 전력반도체모듈제조공정기술 고온신뢰성검증 (T jmax ) 고온신뢰성향상 모듈 / 패키징기술 전력반도체소자모듈기술 전력모듈사이즈 225 kva 250 kva 275 kva 275 kva 전력반도체소자회로기술 스위칭속도 f SW 200kHz f SW 300kHz f SW 400kHz f SW 400kHz 255

264

265 자동차 SoC 부품 전략제품현황분석

266

267 자동차 SoC 부품 정의및범위 자동차 SoC 부품은자동차시스템의외부환경을인식하고제어하며구동하기위해반도체부품을하나의칩으로구성한부품으로자동차의파워트레인 / 바디 / 샤시등을제어하고차량내 / 외의통신을담당하며멀티미디어기능을제공함 자동차 SoC 부품은회로기능에따라 ECU, 센서및회동구로, 통신회로, 운전자지원및자율주행회로로분류 정부지원정책 산업통상자원부에서는열악한시스템반도체산업의경쟁력확보를위한시스템반도체상용화기술개발사업추진 시스템반도체인력양성사업및 ITRC( 정보통신기술인력양성 ) 사업을통하여, 업계가필요로하는기술수준의원천기술개발능력을갖춘전문기술인력양성 창조경제산업엔진중시스템산업분야의자율주행자동차를선정하여자율주행분야핵심요소기술 ( 센서, 인식 / 제어기술, SW, SoC), 10대핵심분야 ( 레이다 / 영상기반주행상황인지모듈, 차량대인프라통신모듈, 디지털맵등 ) 를선정하고중소, 중견기업위주로개발, 특히, 산업부는차량자율주행안전기술, 미래부는자율주행차량내모바일오피스, 외부연결등통신서비스분야에집중중소기업시장대응전략 강점 (Strength) 우수한인적자원보유 다양한세계수준의파운드리 세계수준의자동차회사기회 (Opportunity) 자동차내반도체부품의비율증가 전기자동차 / 자율주행등새로운영역의출현 친환경에대한요구증대 FTA 등을통한수출기회확대 약점 (Weakness) 세계적인수준의시스템반도체성공사례부족 시스템반도체에대한관련기관 / 회사의이해부족 국내시스템반도체산업의하향세 설계 / 생산절차에관련된표준에대한대응경험부족위협 (Threat) 중국반도체회사의약진 파운드리와 EDA 툴비용의증가 요구되는기능의복잡화에따라늘어나는개발기간 / 비용 중소기업의시장대응전략 차량용반도체파운드리구축및차량용반도체설계기술인프라구축 친환경자동차에대한기술개발집중및기술선점증가 완성차기업과연계한협력 다양한전장 SoC 부품의시장공급과안전성확보노력

268 핵심기술로드맵

269 전략제품현황분석 1. 개요 가. 정의및필요성 자동차 SoC 부품은자동차시스템의외부환경을인식하고제어하며구동하기위해반도체부 품을하나의칩으로구성한부품으로자동차주행관련정보를획득하고, 이를바탕으로엔진, 트랜스미션및각종전자장치등을통제하기위한 SoC 부품 차량내의위치에따른기능으로다음과같이분류 파워트레인제어회로 : 엔진에서바퀴에이르는자동차를움직이게하는부분에관련된제어를담당 바디제어회로 : 운전자편의장치와램프류를제어 샤시제어회로 : 조향, 제동, 현가장치와같은자동차의움직임을제어 차량네트워크회로 : 차량내에위치한여러반도체부품들을연결하여신호를주고받음 멀티미디어회로 : 텔레메틱스등의차량외통신과인포테인먼트를담당 * 출처 : 한국자동차산업연구소 회로기능에따라다음과같이분류 ECU: 차량내의제어를위해사용되는임베디드 CPU 센서회로 : 외부환경 / 신호를획득하여 ECU에전달하는회로 구동회로 : ECU에서생성된제어신호를이용하여차량내의각부분을구동하는회로 261

270 자동차 SoC 부품 통신회로 : 차량내 / 외의통신을담당하는회로 운전자지원및자율주행회로 : ADAS(Advanced Driver Assistance Systems) 이라불리며운전 자의운전편의를위한회로와자율주행을위해필요한회로 위분류에따른회로들은각각의기능을개별적인반도체부품으로제작할수도있고, 둘이상 의기능을하나의반도체부품에넣을수도있으며, 후자의경우넓은의미의자동차 SoC 이 며, 좁은의미의자동차 SoC 는 ECU 를중심으로기타회로를하나의부품으로제작한것 주행관련각종정보 ( 온도, 압력, 속도등 ) 를입력받는센서, 입력정보를사용하여엔진, 트랜스미션및각종전자장치의동작제어명령을생성하는 ECU(Electronic Control Unit), ECU 로부터받은제어명령을실행하여차량을작동시키는구동장치 (actuator) 등에사용되는반도체를포함 입력정보를사용하여엔진, 트랜스미션및각종전자장치의종작제어명령을생성하는 ECU(Electronic Control Unit) 는여러가지센서로부터입력된정보를처리하고, 이를활용 하여자동차의각종제어신호를발생하는부품을포함 ECU 로부터받은제어명령을실행하여차량을작동시키는구동장치 (actuator) 반도체는전기 적 / 기계적장치를구동시키는반도체로모터를포함하며, 다양한센서정보를활용하여속도조 정기능, 차량위치조정기능등을직접수행하는반도체임 외부온도, 타이어압력변화, 자량의주행속도등을감지하는센서를비롯하여, 최근에는차량 운전자친화적서비스를위한초음파센서, 자율주행을위한차선이탈감지센서, 운전자인식, 차량간거리인식센서등의다양한기술이요구 안전성, 편의성, 경제성및친환경성향상을위한주행환경인식용반도체, 차량내부전자장 치들간의통신혹은차량간협력주행을위한통신용반도체등으로사용범위가확대 262

271 전략제품현황분석 * 출처 : 교보증권리서치센터 [ 차량반도체적용분야 ] 나. 범위 (1) 제품분류관점 자동차 SoC 부품은 ECU를기본으로센서및구동회로, 통신회로등으로구분 ECU는저전력임베디드 CPU 설계기술, 임베디드프로그램 / 자동차 OS 기술포함 센서및구동회로는센서회로설계기술, 저전압아날로그회로설계기술등을포함 신회로는차량내외부의통신회로설계기술로분류 263

272 자동차 SoC 부품 [ 제품분류관점기술범위 ] 전략제품제품분류관점세부기술 입력정보를사용하여각종장치에제어명령을내리는 CPU 를저전력으로 설계하는기술 저전력임베디드 CPU 설계기술 엔진제어 ECU: 자동차엔진을제어하는전자제어신호처리 SoC 부품기술 ECU 기능제어 ECU: 차량의위치제어등차량의기능을제어하는전자제어신호처리 SoC 부품기술 임베디드프로그램 / 자동차 OS 기술 ECU 에사용되는임베디드프로그램과자동차 OS 기술 센서회로설계기술 차량의주행에관련된다양한정보 ( 온도, 습도, 속도, 압력, 광등 ) 를입력받는센서를설계하는기술 구동회로설계기술 제어회로에서생성된제어신호를받아서모터나엔진, 브레이크등을구동하는회로의설계기술 자동차 SoC 부품 센서및구동회로 저전압아날로그회로설계기술 고전압 / 고전류반도체설계기술 친환경자동차구동제어기술 낮은전압에서도동작하여저전력으로동작하는아날로그회로의설계기 술 고전압 / 고전류가필요한부분에서동작할수있는회로설계기술 하이브리드및전기차등친환경자동차의모터속도제어, 연료제어등자 량구동을제어하는 SoC 부품기술 기능구동제어기술 다양한센서정보를활용하여차량위치조정, 속도조정등의여러가지부품의기능구동을제어하는 SoC 부품기술 차량의내부에있는부품들끼리통신하는회로를설계하는기술 통신회로 차량내통신회로설계기술 외부온도, 타이어압력변화, 주행속도등감지센서를포함하여차량의여러부분에장착되어있는센서간통신및각센싱신호를송수신하기위한센서네트워크 SoC 부품기술 차량외통신회로설계기술 차량과차량또는차량과외부사이의통신을위한회로를설계하는기술 V2X 등차량간, 외부사물인터넷등차량외부와의통신을위한센서신호처리 SoC 부품기술 운전자지원및자율주행회로 영상인식회로설계기술 자율주행제어회로설계기술 레이더관련회로설계기술 물체인식기술을이용하여차선인식, 보행자인식, 차량인식등을수행하는영상인식회로를설계하는기술 영상인식회로에서인식된정보에기반하여자율주행을수행하도록제어하는기술 자동차주변에물체존재를확인할수있는레이더에관련된회로를설계하는기술 264

273 전략제품현황분석 (2) 공급망관점 자동차 SoC에는제품분류관점에서분류외에반도체를제작하는기술과임베디드프로그램 /OS 기술을포함 반도체제작기술은위에기술한여러가지회로들을하나의 SoC 또는 SiP로집적하여제작하는기술 임베디드프로그램및 OS 기술은각종제어회로들에서정보처리및제어신호생성을위한임베디드프로그램및 OS를작성하는기술 [ 공급망관점기술범위 ] 전략제품공급망관점세부기술 반도체설계 아날로그회로설계기술 디지털회로설계기술 저전력회로설계기술 다양한센서와센서인터페이스및구동회로의설계기술 ECU 를비롯하여다양한신호처리 / 통신회로를설계하는기술 회로의전력소모를감축하는기술 자동차 SoC 부품 설계검증기술 고신뢰성반도체제작기술 기능적인오류가없도록회로를검증하는기술 가혹한상황에서도동작하는반도체를제작하는기술 반도체제작 표준규격 고전압 / 고전류반도체제작기술 이종반도체집적기술 AEC-Q100 ISO 고전압 / 고전류에서도동작하는반도체를제작하는기술 3D 반도체 / 웨이퍼수준패키징 /SiP 등의기술을이용하여서로다른종류의반도체를하나로집적하는기술 자동차전자부품협회와국제표준기구에서마련한제작및설계에대한규격을만족하도록반도체를설계 / 제조하는기술 265

274 자동차 SoC 부품 2. 산업환경분석 가. 산업특징및구조 (1) 산업의특징 자동차 SoC 를포함하는시스템반도체산업은반도체설계 (Fabless) 및생산서비스 (Foundry) 를통해수요기업이원하는융합및고부가가치기능을제공하는대표적지식집약산업 대규모장치산업인메모리반도체산업과는달리차량모델별로개발하는등매우다른특성을 가지므로메모리산업과는다른방식의접근이필요 차량용반도체는 PC나휴대폰등의일반반도체보다높은수준의안정성과내구성을요구하므로진입장벽이높은전도유망한고부가가치산업으로이에부완성차메이커들도차량용반도체시장에진출 자동차용반도체는극한의상황에서약 42일동안정상작동하는자동차전자부품협회의차량용반도체신뢰성인증인 'AEC-Q100' 을거쳐야함 [ 차량용반도체신뢰성기준 ] 가정용 산업용 차량용 동작온도 0~40-10~70-40~155 수명 1-3년 5-10년 15년 습도 Low Environment 고장률 3% <<1% Zero Failure 공급기한 2년 5년 30년 * 출처 : 교보증권리서치센터 자동차 SoC 부품은신뢰성확보를위한지금까지는종합반도체회사 (IDM) 에유리하였으나, 점 차다양한기능을요구하는시장상황에빠르게대처해야하므로중소기업이도전할수있는분 야 그러나수십억원의높은초기투자비용, 수준높은기술력과고급인력, 긴개발기간등산업의 특성상영세기업혼자서, 창업에서제품출시까지수행한다는것은거의불가능 266

275 전략제품현황분석 시스템반도체개발을위하여고가의설계및검증툴, IP 구축등이필요하며, 영세한중소기업부담에따른한계발생 반도체공정의미세화및고도화에따른반도체개발비용 (130nm급설계툴 - 15종총약 42억, 60nm급설계툴 - 15종총약 90억, 현재는 22nm 이하도사용 ) 증가 기존구축된설계툴도시장환경과기술진보에따라지속적업그레이드필요 현재자동차반도체시장에서가장돋보이는 성장은예방차원의안전과운전자 정보, 즉 ADAS와인포테인먼트, 텔레매틱스임 다른분야의자동차반도체는진입하는데상당한시간이걸리고보쉬, 콘티넨털, 덴소, 델파이등 티어1 전장업체와의긴밀한협조가이루어져야함 이와달리부품형태로자동차반도체시장에진입할경우상대적으로유연 (2) 산업의구조 자동차 SoC를포함한시스템반도체산업은다음과같이구분됨 팹리스 (Fabless): 반도체설계만을담당하는회사로써반도체제조설비를가지고있지않음 파운드리 (Foundry): 반도체제조설비를갖추어서, 팹리스에서설계한반도체를제작하는회사 테스트및패키징 (Test and Packaging): 제작된반도체의이상여부를테스트하고반도체에패키지를입히는회사 IP회사 : 한반도체에들어가는기능블록을설계하여 IP형태로팹리스에제공하는회사 종합반도체회사 (IDM): 반도체제조설비를갖추면서반도체설계도하는회사 시스템반도체산업에서는팹리스와파운드리기업의역할이매우중요 수요자의요구및제품이매우다양하기때문에설계전문업체의역할이중요하며, 이들업체 들은파운드리를통해제품을위탁생산 시스템반도체는범용제품인메모리반도체와달리해당반도체를이용하는시스템업체 ( 자동차 반도체의경우자동차생산회사나차량용전장부품개발업체 ) 의요구에따라설계하므로, 시스템업체와의긴밀한협력이요구됨 자동차반도체회사의대부분이팹리스회사이므로팹리스회사를기준으로전 / 후방산업을구 분 267

276 자동차 SoC 부품 전방산업은다음과같이분류할수있음 전장부품산업 : 여러자동차반도체 /SoC 를모아서기능별로모듈형태로만들어공급하는회사 자동차산업 : 전장부품에서공급하는모듈을이용하여완성차를제작하는회사 후방산업은다음과같이분류할수있음 IP 산업 : 일부자동차 SoC의경우내부기능이매우복잡하여일부기능블록을 IP형태로제공함 파운드리산업 : 팹리스인자동차반도체회사가설계한반도체를파운드리회사가제작. 특히자동차 SoC의경우 3D IC와같은이종반도체집적기술이필요 반도체재료산업 : 파운드리에서반도체를제작할때필요한반도체재료를공급하는회사. 특히자동차 SoC의경우고전압, 고전류를위한반도체재료가필요 반도체장비산업 : 반도체제작에필요한장비를공급하는회사 EDA 툴산업 : 반도체를설계하기위해서는필요한다양한 CAD 툴을공급하는회사 자동차 OS 산업 : 자동차 SoC, 특히 ECU라불리는임베디드프로세서에탑재되는제어프로그램이점점복잡해짐에따라, 이러한프로그램을지원할수있는자동차 SoC용 OS가요구됨 [ 자동차 SoC 분야산업구조 ] 후방산업자동차 SoC 분야전방산업 디자인하우스, 파운드리, 반도체재료, 반도체장비, EDA 툴, 자동차 OS 센서회로, 구동회로, 저전압아날로그회로, 고전압 / 고전류반도체, 친환경자동차구동제어, 기능구동제어, 영상인식회로, 차량내통신회로, 차량외통신회로, 저전력임베디드 CPU, 다중센서네트워크, IoT 센서신호처리 전장부품자동차 나. 경쟁환경 전세계차량용반도체시장은 NXP, 인피니언테크놀로지스, ST 마이크로일렉트로닉스, 르네사 스, 덴소등미국, 유럽과일본의반도체기업들이센서부터무선주파수 (RF), 전원관리등의통 합반도체와고전압차량용전력반도체등을주요제품으로시장에서경쟁 268

277 전략제품현황분석 * 출처 : 세미캐스트리서치 [ 2015 년세계반도체업체별시장점유율 ] 르네사스, NXP( 프리스케일포함 ), 인피니언이자동차 MCU 시장의 70% 이상을차지 2014년자동차반도체시장규모는 289억8400만달러 ( 약 3조4000억원 ) 이었는데업체별로는르네사스가 30억3200만달러의매출을기록, 10.4% 의시장점유율로업계 1위 2위업체는 27억200만달러의매출로 9.3% 의점유율을기록한 ST마이크로 (21억4400만달러, 7.4%), 프리스케일 (20억9300만달러 ), NXP(18억6100만달러 ) 가르네사스와인피니언의뒤를따랐음 2015년에는 NXP가프리스케일을 18억달러 ( 약 13조8000억원 ) 를들여프리스케일을인수합병 (M&A) 하면서단숨에 1위에올랐음 2016 년에는모바일반도체선두주자인퀄컴은시장상황을발빠르게파악해차량용반도체 1 위기업인 NXP 반도체를 53 조원에인수 삼성전자도퀄컴과같이성장률이둔화되고있는스마트폰을대체할차세대성장동력으로전장사업에눈을돌리고있고, 2016년에하만을인수 하만은커넥티드카용인포테인먼트, 텔레매틱스, 보안, OTA( 무선통신을이용한 SW 업그레이드 ) 솔루션등전장사업을실시하며여러업체들로부터신뢰를쌓아온기업 SK 하이닉스는 2015 년 5 월전장사업성장에대비해전장부품관련 TF 오토모티브팀을신설 이탈리아자동차부품회사마그네티마렐리와첨단운전자지원시스템 (ADAS) 용임베디드멀티미디어 카드 (emmc) 공급계약을논의 269

278 자동차 SoC 부품 [ 국내업체의기술개발및투자현황 ] Samsung 2016 년 11 월커넥티드카 - 오디오전문기업하만인수 2017 년 6 월까지미국오스틴반도체공장 10 억달러투자 인공지능플랫폼기업비브랩스와협업 SK Hynix 2015 년 5 월전장부품 TF 팀신설 마그네티마렐리, 콘티넨탈오토모티브, 델파이, 엔비디아등부품업체와계약협력중 차량용반도체인증 현대자동차그룹이지난 2012년현내모비스, 현대케피코, 현대자동차등계열사내전장제어연구인력을모아설립한 ' 현대오트론 ' 이대표적차량용반도체기업으로현대오트론은현대자동차에필요한자동차용반도체를국산화하는것이목표로, 자율주행및친환경분야에적용되는반도체를개발하고있다. 상용차량에적용되는차선이탈경보, 레이더, 전자제어장치, 경보장치및사람-기계간인터페이스 (HMI) 등을개발중 만도는 NXP 에합병된프리스케일과협력해차량용반도체기술을개발중. 센서를통한다이 내믹정보와환경인식정보를이용해차량의안전성을구현하는지능형차량전자제어장치개 발을추진중 동부하이텍도지난 2010 년 AEC-Q100 인증을통과, 미국과유럽, 일본등의차량용반도체 기업의파워트레인용전력관리칩이나전조등, 후미등모터구동칩및전력관리칩등 20 여개 의제품을공급중 LG전자는 2013년차량부품개발과생산을전담하는 VC사업본부를신설해자동차전자장비부품과스마트카시스템개발에나서고있음 LG그룹계열사인실리콘웍스는 4개의모터를하나의반도체구동하는멀티채널모토구동칩을세계최초로개발해양산에돌입하는등차량용반도체시장공략에집중하고있음 LG전자는 2015년임베디드 ( 내장형제어 ) 텔레매틱스공급자가운데 1위에올랐음. 2위는페이커, 3위는콘티넨털, 4위는하만, 5위는마그네티마렐리 270

279 전략제품현황분석 구분 경쟁환경 기술분류차량내 / 외통신전력 ECU 주요품목및기술 해외기업 차량내통신차량간통신차량-외부통신위치측위차량센서네트워크페이커콘티넨털하만마그네티마렐리 [ 제품분류별경쟁자 ] 전력관리 AC/DC-DC 변환전력공급파워트레인 미쓰비시, 페어차일드, 세미크론, 온세미콘덕터 8 비트 ECU 16 비트 ECU 32 비트 ECU 센서 / 구동회로가속 / 압력 / 온도 / 습도센서등레이다브레이크 / 에어백구동등 온세미콘덕터 영상 / 비디오 영상처리영상인식카메라 온세미콘덕터 NXP, 인피니온, 르네사스, ST 마이크로, TI, 보쉬, 도시바 국내기업 LG 전자텔레칩스아이케이세미콘 실리콘웍스아이케이세미콘 텔레칩스아이에이 실리콘웍스아이에이아이케이세미콘지니틱스 넥스트칩픽셀플러스동운아나텍칩스앤미디어아이에이 다. 전후방산업환경 전방산업의경우, 국내에현대 / 기아자동차와같은세계적인자동차회사들이있고, 이회사들 이전장사업에관심을가짐에따라유리한환경 후방산업의환경은다음과같음 IP 산업 : 마케팅등의문제로인해좋은기술을가지고있음에도수익을내지못함에따라점점회사가줄어들고있음 파운드리산업 : 삼성을비롯해서매그나칩반도체, 동부하이텍등다양한파운드리회사가국내에있으나, 글로벌 1, 2위는대만업체인 TSMC와 UMC임 반도체재료 / 장비산업 : 반도체장비등은아직국산화가미흡한분야임 EDA 툴산업 : 대부분의 EDA 툴회사는미국회사이며, 매년막대한비용을지출하고있음 자동차 OS 산업 : 최근에새롭게열린산업임 271

280 자동차 SoC 부품 3. 시장환경분석 가. 세계시장 현재자동차 1대에는메모리 비메모리반도체, 마이크로콘트롤러유닛 (MCU) 등약 200여개상의반도체가탑재되고있는가운데자동차에대한안정성및편의성에대한요구, 효율성으로인한수요증대로자동차의전자화가급속도로이루어지고있으며, 이에따라자동차부품중반도체가차지하는비중도확대중 시장조사업체 IHS에따르면 2015년을기준으로글로벌자동차반도체매출은 290억달러 ( 약 34조7000억원 ) 으로전년동기대비엇비슷한수준을유지 중국경제성장둔화로인해자동차생산량이줄어든탓과유로화와엔화의약세로미국달러화로매출을전환했을때영향을받은것이영향 규제로인해어쩔수없이자동차반도체를적극적으로이용해야하는차체제어모듈이나변속기관리제어장치, 파워트레인은전년동기대비비슷한규모가유지 무열쇠시동, 실내온도조절, 헤드유닛, 에어백과같은기본적인기능과관련된반도체매출은전년동기대비소폭 ( 최대 -4%) 감소 충돌방지, 운전자정보, 발광다이오드 (LED) 와같은기능이성장을이끌었음 이런기능에사용되는 ECU의수는매년증가하고있는추세임. 2015년은전년동기대비 ECU 관련자동차반도체매출이 20% 이상증가 차량용반도체시장성장은자동차판매대수증가보다는차량내전장부품탑재비중의증 가에기인하고있으며, 하이브리드및전기자동차사장의확대등과맞물려지속성장하여연 평균 7.8% 로성장하여 2020 년에는 415 억달러로성장예상 자동차전장부품이강화되면서자동차원가에서전자장치부품가격이차지하는비중도 20 30% 수준까지올라온가운데전기차대중화가본격화되는 2020 년에는 70% 까지확대될것 으로전망 272

281 전략제품현황분석 [ 자동차 SoC 부품의세계시장규모및전망 ] ( 단위 : 백만달러, %) 구분 CAGR 세계시장 28,500 30,500 33,000 35,000 38,000 41, * 자료 : Worldwide Automotive Semiconductor Revenue Forecast (From Databeans Estimates (March 2015)) 의자료로추정함 지역별로는가장큰차량용반도체시장인미국, 유럽의성장세가지속될것으로전망되며, 중 국이정책적으로전기차를육성함에따라전기차를위한 SoC 시장확대로높은시장성장율을 보일것으로전망 * 출처 : Strategy Analytics Jul, 2014 [ 지역별차량용반도체시장현황및전망 ] 응용분야별로파워트레인과 Safety 분야반도체수요가가장크게증가하고있으며부품별로는 MCU, 센서및액츄에이터가가장크고, Analog 및개별소자의수요도클것으로전망 273

282 자동차 SoC 부품 * 출처 : KSIA Insight ( ) 나. 국내시장 차량용반도체의국내시장규모는 2015 년 1 조 4,301 억원으로추정되며, 2020 년까지연평균 9.2% 로성장하여 2 조 2 천억원대의시장형성이전망 국내차량용반도체중 90% 이상을해외수입에의존하고있으며, 아직까지국내자동차반 도체수준은핵심인안전제어가아닌인포테인먼트나반도체를받아서조립하는패키징수준 에머물고있는상황 자동차에사용되는각종센서반도체에대한국내기업의시장진입이점차가시화되고있으나, 아직까지경쟁력은부족 [ 자동차 SoC 부품의국내시장규모및전망 ] 구분 ( 단위 : 억원, %) CAGR ('13~'15) 국내시장 14,301 15,617 17,054 18,623 20,336 22, * 자료 : 산업기술로드맵 (2014) 자료를참고하여전망치추정 274

283 전략제품현황분석 다. 무역현황 자동차 SoC 부품으로무역현황을분석하는데한계가있어수출품목중시스템반도체품목의무역현황을살펴보았으며, 수출량에비해수입량의증가폭이다소큰추세 자동차 SoC의수출현황은 11년 178억 8천만달러에서 15년 199억 6백만달러수준으로증가하였으며, 수입현황은 11년 137억천만달러에서 15년 191억 6천만달러수준으로증가하여무역수지가점차줄어들고있음 최근 5년 ( 11-15년) 간연평균성장률을살펴보면수출금액은 2.8% 씩증가하였으며, 수입금액은 8.7% 씩증가한것으로나타남 무역특화지수는 11 년 0.13 에서 15 년까지 0.02 로점차줄어드는경향을보이며, 점차수출과 수입금액이비슷해지고있음을알수있음 [ 자동차 SoC 부품관련무역현황 ] 구분 ( 단위 : 억달러, %) CAGR ('11~'15) 수출금액 수입금액 무역수지 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 275

284 자동차 SoC 부품 4. 기술환경분석 가. 기술개발트렌드 개별부품을모두원칩화 SoC 의자동차적용이전방위적으로확대추세 반도체소자가미세화되고회로의 IT화, 에너지절감, 다양한기능지원등으로멀티코어 SoC 가가능해짐에따라휴먼인터페이스의발전, 센서기능강화, 시스템효율화등최신자동차분야에서요구하는시스템요구사항을맞출수있게되어적용분야가폭발적으로확산추세 차량용반도체는자동차내외부의각종정보 ( 온도, 압력, 속도등 ) 를측정하는센서와 ECU(Electronic Control Unit) 로불리어지는엔진트랜스미션및전자제어장치등을직접구동하는모터등의구동장치등에사용되고, 주로 custom IC, 범용 IC 등 monolithic IC, Hybrid IC, discrete device 를합쳐진복합 IC, MCU, discrete 등으로구분 자동차에는메모리 / 비메모리반도체, 센서, MCU 등대략 200여개의반도체가사용되고있고, 일반자동차는평균 40여개의반도체칩을사용하고있으며, 고급형차종일수록더많은수의칩을내장하고있는데, 도요타의최고급모델인 렉서스 LS 460 의경우충돌방지용센서와레이더등첨단기능을구현하기위해서 100여개의반도체를탑재 향후친환경자동차로발전해가면서전기전자부품의비중의크게증가할것이라고전망되고있으며, 특히자동차용시스템분야에서개발되는 SoC 반도체는 Smart Sensor SoC, Smart Actuator SoC, Network Module SoC, Electric Power Management SoC, Infotainment SoC, Electronic Control Unit 등의용도로사용 [ 자동차 SoC 부품기술주요적용분야 ] 276

285 전략제품현황분석 나. 주요업체별기술개발동향 (1) 해외업체동향 차량내인포테인먼티기기시장을선점하기위해글로벌반도체업체들이속속뛰어들고있기때문에시스템온칩 (SoC) 형태의애플리케이션프로세서 (AP) 의전장이스마트폰에서차량으로급격히확산되고있는상황 GM, 포드, 크라이슬러, 다임러, 아우디, 폭스바겐, 르노, 현대기아차등을주요고객사로확보하고있는프리스케일이차량내인포테인먼트기기사장의강자로자리매김 프리스케일은 I.MX 시리즈 AP로전세계차량용인포테인먼트시장을선도하고있으며 2007년부터판매한 I.MX 시리즈의누적판매대수가 4000만대에육박할것으로전망 퀄컴과엔비디아, 인텔도후발주자로이사장에참여하는상황으로퀄컴은최근차량용인포테인먼트시스템에특화된 SoC 스냅드래곤 602A를출시 퀄컴의 SoC 스냅드래곤 602A는 1.5GHz로작동하는쿼드코어크레이트중앙처리장치 (CPU), 아드레노 320 그래픽처리장치 (GPU), 헥사곤디지털처리프로세서 (DSP), 고성능오디오, 비디오코어를통합했으며, 온도, 품질, 수명및신뢰도측면에서자동차업계의엄격한기준을모두만족시키고미국자동차전자부품협회 (AEC) 의품질기준인 AEC-Q100 도통과 * 자료 : ICO, Gigglehd.com [ 프리스케일 I.MX( 좌 ), 퀄컴스냅드래곤 602A( 우 ) ] 엔비디아도신형 AP 테그라 K1의차량용버전을공개한바있고, 테그라 K1은 GPU 성능을극대화했으며, 엔비디아는테그라 K1에 GPU 코어 192개를심었는데, 기존테그라4의 GPU 코어개수는 72개였으니 3배가까이그수가늘어난것. 엔비디아는아우디, BMW, 테슬라, 폭스바겐이차량용인포테인먼트시스템에자사테그라시리즈를탑재했다며해당시장에서크게성장할수있다는자신감을내비치는상황 277

286 자동차 SoC 부품 * 자료 : bodnara.co.kr [ 엔비디아테그라 K1 VCM(Vehicle Computing Machine) ] 278

287 전략제품현황분석 (2) 국내업체동향 국내반도체업계에서차량용 SoC 사업에소극적이었으나최근삼성전자가차량반도체사업에본격적으로뛰어들기로결정하고사업화본격착수 삼성전자는자체 AP 엑시노스시리즈를개발했으나삼성전자의 AP 개발은파운드리고객사인퀄컴과경쟁해야하고, 그렇게만든 AP는스마트폰부문경쟁사들에게팔아야하는복잡한비즈니스구조로삼성파운드리부문의분사까지검토하고있던실정 실제삼성전자는경영진단결과자체 AP를개발하여고객과경쟁하는비즈니스관계에서 SoC 개발실을축소하고외부설계반도체를생산해주는파운드리부문을더강화하는쪽으로선회할예정이었으나최근디바이스솔루션 (DS) 부문시스템 LSI 사업부를파운드리사업부와설계사업부로분리하기로결정하고자율주행차 SoC를직접설계개발하고파운드리서비스를개시하기로결정 삼성전자는미국전기차업체테슬라와고객사가요구하는설계사양과기능에맞춰설계와제작을맡는주문형반도체 (ASIC) 계약을맺고공식사업화에착수 * 자료 : 전자신문 [ 삼성전자와 ASIC 계약을맺은테슬라의전가차모델 S ] 삼성전자시스템 LSI사업부는독자브랜드로차량용핵심 SoC 설계개발에나서고있으며첫프로젝드는아우디와이미시작한상태 삼성전자는와우드와차반도체관련전략협력관계를맺고인포테인먼트용 SoC 개발및공급을할예정이며 2019년형아우디신차에삼성전자브랜드 SoC가탑재될전망 국내완성차업체에서는외국에서개발한 SoC 부품을적용하는데적극적인추세 인텔에서아톰프로세서라는차량용 SoC로자동차인포테인먼트시장을노크하고있는데, 국내완성차업체중에서 2012년기아차 K9의인포테인먼트시스템에인텔아톰프로세서가탑재되었고현대차의신형제네시스에도선택사양으로아톰프로세서가탑재되고있는상황 279

288 자동차 SoC 부품 다. 기술인프라현황 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교육을진행 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 자료 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형 실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수 있는인프라를제공 280

289 전략제품현황분석 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소 중견기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 [ 생산기술연구원개방형실험실현황 ] 뿌리산업 기술연구소 화학분석공용실험실 재료물성분석 / 재료시험공용실험실 표면분석공용실험실 금속재료기초분석공용실험실 미세조직정밀분석공용실험실 주물기술지원공정실험실 ( 경서 ) 도금기술지원공정실험실 융합생산 기술연구소 유해물질화학분석공용실험실 정밀측정공용실험실 패키징기술센터공정실험실 산업용섬유공정실험실 섬유시제품공정실험실 의류기술지원공정실험실 청정생산 시스템연구소 재료분석및물성공용실험실 청정환경공용실험실 음향진동공용실험실 에너지설비성능인증공정실험실 스마트자동화공정실험실 열처리기술지원공정실험실정밀금형공정실험실용접접합기술지원공정실험실소성가공공정실험실사이버설계기술지원 / 첨단장비지원공정실험실자전거제조기술지원공정실험실 * 자료 : 한국생산기술연구원홈페이지 전북지역 본부농기계신뢰성시험연구센터공용실험실 ( 김제 ) 탄소경량소재공정실험실 ( 전주 ) 서남지역 본부 KOLAS ( 교정 ) 및측정 / 분석공용실험실 에너지환경 (RoHS) 공용실험실 정밀모터시험. 분석공용실험실 정밀금형 TRY OUT 공정실험실 나노기술집적공정실험실 대경지역 본부 바이오메디칼기술센터공용실험실 성형기술지원 / 첨단장비지원공용실험실 주물기술지원공정실험실 ( 다산 ) 동남지역 본부 정형프로세스공용실험실 융합플레이팅공용실험실 첨단하이브리드공용실험실 초정밀가공공정실험실 주물기술지원공정실험실 ( 마천 ) 울산지역 본부 친환경청정기술공용실험실 강원지역 본부 비철금속공용실험실 한국희소금 속산업기술 센터 한국희소금속산업기술센터공용실험실 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로 사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착 281

290 자동차 SoC 부품 지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 자료 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국기계연구원부설재료연구소에서는소재산업기술지원을위하여시험검사서비스및기업지원을서비스를운영 시험검사서비스는재료물성시험, 화학분석시험, 표면처리시험, 항공소재공인시험, 비파괴시험, 신뢰성인증평가를진행 재료연구소에서는시험분석및장비사용료에부담을갖는기업에게사용료지원사업을운영하고있으며, 창원시소재기업등을주로대상 282

291 전략제품현황분석 * 자료 : 재료연구소 [ 재료연구소시험검사지원절차 ] 또한기업지원을위하여소재부품애로기술을지원하고있으며기술지원, 인프라지원, 인력지 원, 정보교류등종합적지원체계를갖춤 * 자료 : 재료연구소 [ 재료연구소기업지원내용 ] 283

292 자동차 SoC 부품 라. 특허동향분석 (1) 연도별출원동향 자동차 SoC 부품기술의지난 7년 ( 10~ 16) 간출원동향 29) 을살펴보면연도별로출원경향이증가, 감소를반복하고있어지속적으로자동차 SoC 부품관련기술개발활발 각국가별로살펴보면일본출원경향은급격히증가-감소-증가-감소추세, 미국및한국은증가-감소-증가추세, 유럽은증가-유지하는경향 국가별출원비중을살펴보면일본이 54.0% 로최대출원국으로자동차 SoC 부품기술을리드 하고있는것으로나타났으며, 한국이 22.9%, 미국이 17.2%, 유럽은 5.8% 순으로나타남 [ 자동차 SoC 부품분야연도별출원동향 ] 29) 특허출원후 1 년 6 개월이경과하여야공개되는특허제도의특성상실제출원이이루어졌으나아직공개되지않은미공개데이터가존재하여 2015, 2016 년데이터가적게나타나는것에대하여유의해야함 284

293 전략제품현황분석 (2) 국가별출원현황 한국의출원현황을살펴보면 11년이후출원이소폭감소하는경향을보이고있으며, 외국인의출원이점차감소 외국인의출원감소현상이두드러지는이유를살펴보면자동차 SoC 부품기술의국내시장에대한외국인의선호도가감소하고있는것으로추정 일본의출원현황은증가 - 감소를반복적으로나타내고있으며, 출원인대부분이자국출원으로 일본내의기술력이우수한것으로추정 미국과유럽의출원현황은 11 년을기점으로감소추세를보이고있으며, 출원인대부분이외 국인으로미국과유럽은자국인의출원이미미 [ 국가별출원현황 ] 285

294 자동차 SoC 부품 (3) 투입기술및융합성분석 자동차 SoC 부품분야의투입기술을확인하기위하여특허분류코드인 IPC Code 30) 를통하여살펴본결과자동차 SoC 부품분야의가장높은 IPC는 B60W 기술분야가 455건으로가장많이차지하고있으며, 이어서 B60L이 209건, H02J가 193건으로다수를차지 이외에 H01M, 123건, G01R 109건, B60R 51건, B60K 37건, G06F 35건, F02D 32건, B60H 24건순으로기술이투입되어있어자동차 SoC 부품분야에다양한기술이융합되어존재 더불어해당 IPC의특허인용수명을살펴보면 H01M 기술분야의수명이 8년으로가장긴것으로나타났으며, B60W 기술분야는 3년으로가장짧은것으로분석 [ 자동차 SoC 부품분야상위투입기술 ] IPC 기술내용특허인용수명 (TCT) 31) B60W B60L 다른종류또는다른기능의차량용부품의관련제어 ; 하이브리드차량에특별히적합한제어시스템 ; 특정의단일의부품의제어에관한것은아닌, 특정의목적을위한도로상의차량의운전제어시스템 전기적추진차량의전기장치또는추진장치 ; 차량용자기적현가또는부양 장치, 차량용전기적제동방식일반 3 년 7 년 H02J 전력급전또는전력배전을위한방식 ; 전기에너지축적하기위한방식 7 년 H01M 화학적에너지전기적에너지직접변환하기위한방법또는수단 8 년 G01R 전기변량의측정 ; 자기변량의측정 7 년 B60R 달리분류되지않는차량, 차량부속구또는차량부품 6 년 B60K 차량의추진장치또는동력전달장치의배치또는설치 ; 복수의서로다른원동기의배치또는설치 ; 보조구동장치 ; 차량용계기또는계기판 ; 차량의 추진장치의냉각, 흡기, 배기또는연료공급에관한배치 6 년 G06F 전기에의한디지털데이터처리 6 년 F02D 연소기관의제어 5 년 B60H 특히차량의객실또는화물실의난방, 냉방, 환기또는다른공기처리수단 에관한장치또는개조장치 8 년 30) 전세계적으로통용되고있는국제특허분류 (IPC: International Patent Classification) 를통해특허정보기술분야에서공지기술을조사할수있으며, 기술및권리정보에용이하게접근가능 31) 특허인용수명지수는후방인용 (Backward Citation) 에기반한특허인용수명의평균, Q1, Q2( 중앙값 ), Q3 에대한통계값을제시함. 특히이와같이산출된 Q2 는 TCT(Technology Cycle Time, 기술순환주기또는기술수명주기 ) 라고부름 286

295 전략제품현황분석 투입기술이가장많은 B60W 분야와융합이높게이루어진기술은 B60K 분야로나타났으며, F02D, B60R 분야와도융합된기술의건수가높은것으로분석 이외에 B60L 분야와융합된기술은 H01M, B60K, G01R 분야와융합된기술이많은것으로나타났으며, H02J 분야와융합된기술은 H01M, H02M, B60R 기술로분석 [ 자동차 SoC 부품분야 IPC 기술및융합성 ] (4) 주요출원인분석 세계주요출원인을살펴보면주로일본의출원인이다수의특허를보유하고있는것으로나타났으며, 자동차관련분야의출원인이대부분 주요일본출원인을살펴보면 TOYOTA MOTOR, HONDA MOTOR, DENSO, NISSAN MOTOR, MITSUBISHI MOTORS, HINO MOTORS 등자동차및관련부품전문기업이다수출원을하고있는것으로나타났으며, 이들일본출원인은주로일본본국에출원건수가높은것으로나타남 한국출원인으로는현대자동차, 엘지화학, 현대모비스등의기업이상위출원인으로나타나자동차 SoC 부품관련기술을다수보유 287

296 자동차 SoC 부품 가장많은특허를보유하고있는 TOYOTA MOTOR 의 3 극패밀리수가 86 건으로다국적으로 시장을확보하며출원을하고있는것으로보이며, 엘지화학도 25 건으로다국적시장을확보 한국기업인엘지화학이확보한특허의피인용지수가 1.29 로가장높게나타나기술의파급성 이높은원천기술을다수보유하고있는것으로분석됨 [ 주요출원인의출원현황 ] 주요출원인 국가 주요 IP시장국 ( 건수 %) 한국미국일본유럽 IP시장국종합 3극패밀리수 ( 건 ) 피인용지수 주력기술분야 TOYOTA MOTOR 일본 % 11% 79% 6% 일본 하이브리드자동차 SoC 부품 현대자동차 한국 % 4% 13% 1% 한국 전기자동차용 SoC 부품 HONDA MOTOR 일본 % 13% 84% 3% 일본 하이브리드자동차 SoC 부품 DENSO 일본 % 20% 80% 0% 일본 차량제어용자동차 SoC 부품 엘지화학 한국 % 10% 4% 8% 한국 전기자동차제어용 SoC 소재 NISSAN MOTOR 일본 % 12% 60% 10% 일본 차량제어용자동차 SoC 부품 DAIMLER 독일 % 0% 97% 3% 일본 1 0 하이브리드전기자동차 SoC 부품 MITSUBISHI MOTORS 일본 21 0% 0% 100% 0% 일본 자동차회생제어용 SoC 부품 HINO MOTORS 일본 % 5% 89% 5% 일본 자동차회생제어용 SoC 부품 현대모비스 한국 % 0% 0% 0% 한국 차량용데이터통신용 SoC 부품 288

297 전략제품현황분석 (5) 국내출원인동향 국내출원인동향을살펴보면대기업은현대자동차의출원건수가가장높게나타났으며, 중소기업에서는한온시스템주식회사의출원건수가높게나타남 대기업의주요출원인은엘지화학, 현대모비스, 삼성전자, 엘에스산전, 쌍용자동차등이있으며, 중소기업의주요출원인은 세미솔루션, 상선정보기술, 주식회사아진엑스텍, 주식회사이노스피어, 에스엠씨등이주요출원인인것으로나타남 기업이외의주요출원인을살펴보면한국과학기술원, 한국전기연구원, 국방과학연구소등연구 소 / 공공기관의출원이다수나타났으며, 대학은국민대학교, 충북대학교, 한밭대학교, 명지대학 교, 연세대학교의출원이높은것으로분석됨 [ 국내주요출원인의출원현황 ] 289

298 자동차 SoC 부품 5. 중소기업환경 가. 중소기업경쟁력 자동차 SoC 부품분야의중소기업경쟁력은기술분류별로차이가있으나전체적으로참여규모와 정도가크지않은상황임 [ 자동차 SoC 부품분야중소기업현황 ] 기술분류주요품목및기술대기업중소기업 중소기업 참여영역 중소기업 참여정도 차량내 / 외통신 차량내통신차량간통신차량 - 외부통신위치측위차량센서네트워크 LG 전자 텔레칩스아이케이세미콘씨앤에스아이앤씨 AP 칩 DMB 수신칩 전력 전력관리 AC/DC-DC 변환전력공급파워트레인 삼성 SDI 실리콘웍스 아이케이세미콘아이에이트리노테크놀로지하이브론 전력제어 IC ECU 8 비트 ECU 16 비트 ECU 32 비트 ECU 현대모비스현대케피코 텔레칩스아이에이인포뱅크 ECU 센서 / 구동회로 가속 / 압력 / 온도 / 습도센서등레이다브레이크 / 에어백구동 현대케피코 실리콘웍스아이에이아이케이세미콘지니틱스 신호처리모듈차량모터제어집적회로 영상 / 비디오 영상처리영상인식카메라 삼성전기 넥스트칩픽셀플러스동운아나텍칩스앤미디어아이에이엠텍비젼 영상보안장비의핵심칩셋카메라모듈 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 290

299 전략제품현황분석 나. 중소기업기술수요 자동차 SoC 부품분야의중소기업의기술수요를파악하기위하여중소기업기술수요조사및중 소기업청 R&D 신청과제 (2013~2015 년 ) 를분석한결과아래표의내용과같은수요들이다수있 는것으로분석 [ 자동차 SoC 부품분야과제신청현황및수요조사결과 ] 전략제품기술분류관심기술 디지털회로설계 영상인식회로설계기술저전력임베디드 CPU 설계기술 자동차 SoC 반도체제작 이종반도체집적기술 센서 다중센서네트워크기술 IoT 센서신호처리기술수소차량을위한가스센서기술 291

300 자동차 SoC 부품 다. 중소기업핵심기술 (1) 데이터기반요소기술발굴 자동차 SoC 부품기술의특허및논문데이터검색을통해도출된유효데이터를대상으로데이터마이닝기법 (Scientometrics 기법 ) 을통해클러스터링된키워드의연관성을바탕으로요소기술후보군을도출 자동차 SoC 부품기술의특허및논문유효데이터를기반으로키워드클러스터링을통하여 12개의요소기술후보군을도출 제품별 dataset 구축 : 자동차 SoC 부품기술관련특허 / 논문데이터를추출하여노이즈제거후제품별 dataset 구축 1차클러스터링 : 키워드맵을통한고빈도키워드확인-빈도수 (tf-idf) 32) 가상위 30% 에해당하는키워드를대상으로 1차추출 2차클러스터링 : 1차클러스터링에서추출된고빈도키워드사이에서고연관도키워드를 2차추출 ( 고연관도기준은연관도수치 33) 가 2이상인클러스터로제한 ) 다음그림은키워드간연관네트워크를시각화한것으로, 각키워드를나타내는원과키워드간의연관도를나타내는직선으로구성 각키워드가특허와논문중어느데이터에서도출되었는지원의색으로구분하였으며, 키워드로도출된클러스터는황색음영으로표시 키워드를나타내는원은고빈도의키워드일수록원의크기가크게표현되며, 연관도를나타내는선은키워드사이의연관도수치가높을수록굵게표현 자동차 SoC 부품기술전략제품의특허 논문유효데이터에대하여키워드클러스터링결과를기반으로요소기술도출 데이터기반의요소기술도출은키워드클러스터링을통해도출된요소기술에대하여전문가의검증및조정을통하여요소기술을도출 32) 빈도수 (tf-idf) : 각키워드가출현되는특허또는논문수를의미 33) 연관도수치 : 두개이상의키워드사이의특허또는논문수를의미 292

301 전략제품현황분석 [ 자동차 SoC 부품기술분야키워드클러스터링 ] [ 자동차 SoC 부품기술분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 system-on-c hip, vehicle 4~8 1. Vehicle measurement apparatus having a system-on-a-chip device and a sensor 2. VEHICLE MEASUREMENT APPARATUS HAVING A SYSTEM-ON-A-CHIP DEVICE, A SENSOR AND A WIRELESS ADAPTE 클러스터 02 system chip, automotive 4~7 1. A multichip automotive radar system, a radar chip for such as system, and a method of operating such a system 2. APPARATUS FOR DISTRIBUTING BUS TRAFFIC OF MULTIPLE CAMERA INPUTS OF AUTOMOTIVE SYSTEM ON CHIP AND AUTOMOTIVE SYSTEM ON CHIP USING THE SAME 클러스터 03 system chip, motor 6~8 1. Steering lock having chip communicating with electronic system of a motor vehicle 2. VEHICLE MEASUREMENT APPARATUS HAVING A SYSTEM-ON-A-CHIP DEVICE, A SENSOR AND A WIRELESS ADAPTER 293

302 자동차 SoC 부품 No 주요키워드연관도수치관련특허 / 논문제목 클러스터 04 system chip, car, control 4~7 1. Methods and apparatus for automatic fault detection 2. Hierarchical sensor network for a grouped set of packages being shipped using elements of a wireless node network 클러스터 05 system chip, car, GPS 4~7 1. Parameter-based navigation by a lumen traveling device 2. Autonomous transport navigation to a shipping location using elements of a wireles node network 3. Contextual based adaptive adjustment of node power level in a wireless node network 클러스터 06 system chip, car, ESC 5 1. METHOD AND APPARATUS FOR DETERMINING PROBABILISTIC CONTEXT AWRENESS OF A MOBILE DEVICE USER USING A SINGLE SENSOR AND/OR MULTI-SENSOR DATA FUSION 2. Circuit and method for controlling charge injection in radio frequency switches 클러스터 07 system chip, car, EPS 5 1. Augmenting ADAS features of a vehicle with image processing support in on-board vehicle platform 2. WIRELESS PAIRING AND TRACKING SYSTEM FOR LOCATING LOST ITEMS 클러스터 08 system chip, car, video 4 1. Portable digital video camera configured for remote image acquisition control and viewing 2. Hard disk drive, method for operating the same, and computer system having the same 클러스터 09 system chip, car, audio 4~7 1. System-in packages 2. Method for determining the quality of a quantity of properties, to be employed for verifying and specifying circuits 클러스터 10 system chip, car, etc 4~7 1. METHOD AND SYSTEM FOR A PERSONAL NETWORK 2. PSOC architecture 294

303 전략제품현황분석 [ 자동차 Soc 분야데이터기반요소기술 ] No 요소기술명키워드 요소기술 01 센서회로설계기술 sensor, planning 요소기술 02 구동회로설계기술 driving circuit, planning 요소기술 03 저전압아날로그회로설계기술 low voltage, analog 요소기술 04 고전압 / 고전류반도체설계기술 high voltage, planning 요소기술 05 영상인식회로설계기술 image, recognition 요소기술 06 차량내통신회로설계기술 inside, communication 요소기술 07 요소기술 08 차량외통신회로설계기술 저전력임베디드 CPU 설계기술 outside, communication low voltage, embedded, CPU 요소기술 09 이종반도체집적기술 hetero, accumulation 요소기술 10 임베디드프로그래밍기술 embedded, programming 요소기술 11 실시간 OS 설계기술 real time, OS 요소기술 12 다중센서네트워크기술 multi sensor, network 요소기술 13 IoT 센서신호처리기술 IoT, signal processing 요소기술 14 엔진제어 ECU 기술 Engine, control 요소기술 15 기능제어 ECU 기술 function, control 요소기술 16 친환경자동차구동제어기술 eco, driving control 요소기술 17 기능구동제어기술 function, driving control 295

304 자동차 SoC 부품 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ 자동차 SoC 부품분야핵심기술 ] 분류요소기술출처 표준규격 ECU 설계 고신뢰성반도체설계 / 생산기술이종반도체집적기술저전력임베디드 CPU 설계기술임베디드프로그램 / 자동차 OS 기술센서설계기술구동회로설계기술 전문가추천 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 전문가추천, 기술수요 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링 저전압아날로그회로설계기술 특허 / 논문클러스터링 센서및구동회로 친환경자동차구동제어기술기능구동제어기술고전압 / 고전류회로설계기술엔진제어 ECU 기술 특허 / 논문클러스터링 특허 / 논문클러스터링 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링 기능제어 ECU 기술 특허 / 논문클러스터링 고속 CAN FD transceiver 설계기술 전문가추천 차량내통신회로설계기술 특허 / 논문클러스터링 통신회로 운전자지원및자율주행회로 차량외통신회로설계기술다중센서네트워크기술 IoT 센서신호처리기술영상인식회로설계기술자율주행제어회로설계기술레이더관련회로설계기술 특허 / 논문클러스터링 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 기술수요 특허 / 논문클러스터링, 전문가추천, 기술수요 전문가추천 전문가추천 296

305 전략제품현황분석 (3) 핵심기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심기술선정위원회를통하여중소기업에적합한핵심기술선정 핵심기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업적합성 (10) 을고려하여평가 [ 자동차 SoC 부품분야핵심기술 ] 분류핵심기술개요 표준규격 고신뢰성반도체설계 / 생산기술 자동차용반도체는일반반도체에비해높은신뢰성을요구하므로이러한고신뢰성을가지는반도체제품을설계 / 생산하는기술 ECU 설계 저전력임베디드 CPU 설계기술 임베디드프로그램 / 자동차 OS 기술 입력정보를사용하여각종장치에제어명령을내리는 CPU 를저전력으로설계하는기술 효율적인임베디드프로그램을작성할수있는기술과다양한상황을실시간으로처리할있는 OS 를설계하는기술 센서및구동회로 센서설계기술 고전압 / 고전류회로설계기술 차량의주행에관련된다양한정보 ( 온도, 습도, 속도, 압력, 광등 ) 를입력받는센서를설계하는기술 고전압 / 고전류가필요한부분에서동작할수있는회로설계기술 통신회로 고속 CAN FD transceiver 설계기술 늘어나는데이터전송요구량을대처하기위해 15Mbit/s 까지가능한 CAN FD(flexible datarate) 가최근에제시되어서, 이러한고속의 CAN FD 에맞춰데이터를송 / 수신할수있는 transceiver 를설계하는기술 영상인식회로설계기술 물체인식기술을이용하여차선인식, 보행자인식, 차량인식등을수행하는영상인식회로를설계하는기술 운전자지원및자율주행회로 자율주행제어회로설계기술 영상인식회로에서인식된정보에기반하여자율주행을수행하도록제어하는기술 레이더관련회로설계기술 자동차주변에물체존재를확인할수있는레이더에관련된회로를설계하는기술 297

306 자동차 SoC 부품 6. 기술로드맵기획 가. 자동차 SoC 부품기술로드맵 298

307 전략제품현황분석 나. 연구개발목표설정 [ 자동차 SoC 부품분야핵심기술연구목표 ] 분류핵심기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 표준규격 고신뢰성반도체설계 / 생산기술 표준에따른설계 / 생산 AEC-Q V 0.2V AEC-Q100 ISC ECU 설계 저전력임베디드 CPU 설계기술 임베디드프로그램 / 자동차 OS 기술 전력소모 (2016 년경쟁사제품대비 ) ECU 수행기능 90% 80% 70% 인포테인먼트제어 주요자동차 OS 적용 주요자동차 OS 최적화 전력소모량 30% 이상절감 자동차 OS 기술의국산화 센서설계기술 자율주행을위한센서기능 CIS/ 초음파 레이더 / 라이더 지능형센서 자율주행용센서 센서및구동회로 고전압 / 고전류회로설계기술 고전압반도체 Power MOSFET SiC/IGBT 고효율 전기모터등을위한고전압 / 고효율반도체 통신회로 고속 CAN FD transceiver 설계기술 전송가능데이터 인포테인먼트및기본동작을위한데이터 지능형동작을위한데이터 자율주행을위한데이터 자율주행을위한데이터에맞는전송속도 영상인식회로설계기술 인식객체 차선 / 거리인식 인간 / 차량인식 물체인지 / 구분 자율주행을위한인식 운전자지원및자율주행회로 자율주행제어회로설계기술 주행제어기능 포장도로주행제어 돌발상황대처 비포장도로주행제어 자율주행제어 레이더관련회로설계기술 레이더관련신호처리 초음파신호처리 원거리 / 근거리신호처리 지능형신호처리 지능형운전자지원 299

308

309 전략제품현황분석 실리콘이미지센서

310

311 실리콘이미지센서 정의및범위 실리콘이미지센서는비메모리반도체중한종류로실리콘반도체를기반으로광학영상 (Optical Image) 을전기적신호로변환시키는반도체모듈로서, 영상이미지의저장및전송, 디스플레이장치에서의광학영상재현을위하여사용하는일종의전자부품 이미지센서는크게빛에의해발생된전자를그대로출력시키는 CCD센서 (Charge Coupled Device) 와빛에의해발생된전자를전압으로바꾸어출력시키는 CMOS센서 (CIS : Contact Image Sensor 라고도함 ) 로구분정부지원정책 세계 4 대센서산업강국도약을비전으로 2012 년 12 월 센서산업발전전략 발표 - 첨단센서핵심기술확보및유망센서상용화등전략적기술개발을위해자동차, 바이오등수요시장의요구와센서산업의성장기여율이높은 10 개핵심센서분야를선정지원, 또한 10 대기반기술과연계하여 7 대산업분야에필요한미래유망센서를 60 개선정, 지원 - 센서산업의성장기반조성을위해, 전국적센서네트워크구축을통해설계에서양산까지 one-stop 서비스지원체계구축, 기업지원스마트센서발전포럼을통해센서기업과수요기업등산학연네트워크강화를통한혁신역량강화 - 센서관련고급인력양성을위해 R&D 참여형교육등신규교육프로그램개발과기존사업에서센서분야를신규로추진하는등연 100 여명의최고급인력배출 - 동전략을추진하기위해 6 년간 ( 14-19) 약 3,300 억원의자금이 R&D 및산업육성에투입예정 중소기업시장대응전략 강점 (Strength) 지식경제부는 센서산업발전전략 을통해 2019년까지총3,338억원규모의투자지원을발표 국내에글로벌경쟁력갖춘전방업체보유기회 (Opportunity) 약점 (Weakness) 세계적인수준의시스템반도체성공사례부족 시스템반도체에대한관련기관 / 회사의이해부족 국내시스템반도체산업의하향세 설계 / 생산절차에관련된표준에대한대응경험부족위협 (Threat) 자동차, 의료기기분야 CMOS 센서채택확대추이 헤드마운트디스플레이등다양한신시장창출 중국업체시장진입에따른가격경쟁과열 중소기업의시장대응전략 기업들의전략적필요성에의한기술도입또는제휴로최신기술및제품동향에신속히대응 다품종 소량생산으로응용분야에따라재료기술, 설계기술, 공정기술등이다르므로대기업인수요기업과의 상생협력필요

312 핵심기술로드맵

313 전략제품현황분석 1. 개요 가. 정의및필요성 실리콘이미지센서는비메모리반도체중한종류로실리콘반도체를기반으로광학영상 (Optical Image) 을전기적신호로변환시키는반도체모듈로서, 영상이미지의저장및전송, 디 스플레이장치에서의광학영상재현을위하여사용하는일종의전자부품 CMOS 이미지센서는스마트폰 디지털카메라시장을기반으로최근에는무인자동차, 블랙박스, 드론, 웨어러블기기에이르는사물인터넷영역으로확산이가속화중 무인자동차 : 사방을촬영하며다른차나사람의접근여부를탐지하는기능이중요한만큼정확한측정을위해첨단이미지센서를내장한카메라장착필수 블랙박스 : 자동차사고발생시시시비비를가리는결정적증거자료로활용하기때문에영상의품질향상을위한 CMOS 이미지센서탑재증가 드론 : 사람이진입하기어려운위험지역감시나노후된인프라검사등을위해최첨단이미지센서접목필수 스마트홈 : 스마트라이트, 도어록등주변환경을인지한후안전과편의서비스를제공할수있는이미지센서장착이증가 웨어러블기기 : 신체에부착하기때문에소비자가장착여부를인지하지못할정도로배터리를소형화하고장기간동작가능한핵심부품으로써 CMOS 이미지센서의중요성배가 나. 범위 (1) 제품분류관점 이미지센서는응용방식과제조공정에따라 CCD(Charge Coupled Device) 와 CMOS(CIS : Contact Image Sensor 라고도함 ) 로분류 CCD는빛에의해발생한전자를그대로전송하는아날로그방식인반면 CMOS는구동회로를동일칩에내장해신호를전압형태로변환출력하는시스템반도체일종 CCD는노이즈가적고이미지품질이우수한특징을갖고있으나아날로그제조공정에서생산되기때문에가격경쟁력취약 CMOS는일반반도체공정을적용하기때문에저렴한제조비용으로대량생산이가능하며주변회로와연결성도뛰어나빠른데이터속도, 용이한관리, 소형화등강점을내재 모바일기기의배터리사용시간을늘릴수있는저전력기술구현에부합하기때문에핵심부품으로성장하는데일조 305

314 실리콘이미지센서 * 출처 ; irv-tech [ CCD 센서와 CMOS 센서구조비교 ] [ 제품관점기술범위 ] 전략제품제품분류관점개요 Photo Diode 의집광기술 좁은면적, 적은노출시간동안보다많은빛을집광 실리콘이미지센서 CMOS 고정밀도 A/D Converter 기술 집광된빛을 Loss 없이 Digital 화시키는기술 신호처리기술 이미지신호를처리하는기술 (2) 공급망관점 실리콘이미지센서기술은공급망단계별로필터, 제조공정기술, 패키징기술로구분 컬러필터기술은마이크로렌즈를통한빛이컬러필터를통해색이분리되고이를픽셀이감지하여칼라이미지를구현하는특성을향상시키는기술임. 컬러필터는빛의파장을원리로하여색을표현하는데 Red, Green, Blue의컬러필터들은백라이트에서액정을통과해나오는빛을각필터와동일한색의파장만통과시키며, 그렇게구분된빛은사람의눈에도달해정확한색으로인식 306

315 전략제품현황분석 * 출처 : [ 일반적인컬러필터방식 ] 암전류제거기술은빛의조사가없을때에흐르는전류로서, 온도변화같은빛이외의현상들이센서내부에전자를형성하여, 포토다이오드나트랜지스터에영향을주는잡음인암전류 (Dark current) 를제거하는기술임. 어두운곳으로갈수록광신호보다암전류등의노이즈값이커보이는특성있음 고정패턴잡음 (FPN: Fixed Pattern Noise) 제거기술은공정상의불균질성으로인해획득된영 상에나타난얼룩 (FPN) 을제거하는기술 IR 필터내장형렌즈기술은근적외선에의한붉은색이미지의선명도를높이기위해, 적외선 (IR) 필터를사용하며, 이를내장한렌즈기술 * 출처 : irv-tech [ 적외선필터적용화질색도향상예 ] 307

316 실리콘이미지센서 [ 공급망관점기술범위 ] 전략제품공급망관점세부기술 필터소형화기술컬러필터기술 컬러구분기술 화상의질향상기술 암전류제거기술 shallow trench isolation(sti) 구조체아이솔레이션향상기술 실리콘 이미지 센서 고정패턴잡음 (FPN) 제거기술기타잡음제거기술 IR 필터내장형렌즈기술 pixel 간에발생하는공간적편차로써 temporal filter 수행후에도고정된 pattern의잡음발생을줄이는기술 한픽셀이주변픽셀과간섭이일어나는혼색현상 (cross talk) 제거기술. 화소내의배선이나빛의입사각이기울어졌을때발생하는현상제거기술 색구현정도 필터 / 렌즈결합기술 308

317 전략제품현황분석 2. 산업환경분석 가. 산업특징및구조 (1) 산업의특징 센서산업은센서칩제조를위한소재산업, 소재를이용하여고유기능이구현된소자산업, 여러개의소자를사용하여조립한모듈산업을포함 센서는최종재화로써사용되기보다특정어플리케이션을제공하기위해정보를취득, 센싱하는도구로써중간재성격을가지므로전형적인부품산업으로볼수있음 Image Sensor 는화소설계기술이나아날로그회로설계기술, 디지털회로설계기술, 소자 공정기술등의다양한기술을필요로해기술적진입장벽이높은편에속하며, 개발비용과 시간이많이소요 그러나휴대폰등의전방산업의모델교체주기가빨라지고있어 Life cycle 영향으로인해 시장출시의적시성이기술과가격이외의경쟁요소로자리잡고있는추세 제품확장성이높은 Image Sensor는 90년대중반디지털카메라에장착되어일반소비자에게공개된후휴대폰, PDA, PC-CAM 등다양한제품에장착, 적용 향후 Image Sensor는인간의오감중시각에해당되기때문에새로운시장으로의확산이빠르게이뤄질것으로예상 디지털카메라를기본으로채택하는카메라폰의폭발적인수요증가에따라 CMOS 이미지센서는성장해왔으며, 초기카메라폰에는 CCD 이미지센서가채택되는경우도많았으나, CMOS 이미지센서의가격적우위, 저전력소모, 고집적화등의이점을가지고상대적으로약점으로꼽히던 Noize 등의기술적인문제가해결되면서대부분의카메라폰에 CMOS 이미지센서가채택 (2) 산업의구조 전자기기에서모바일기기등에탑재되는카메라의중요성이커지면서하이엔드이미지센서가미래반도체기술을주도할것으로예상자동차시스템, 휴대폰, 의료진단시스템, 휴대폰, 의료진단및보안분야로확장되어 주요전방산업은휴대폰, 디지털카메라산업이나이외에도보안 (CCTV), 의료기기 ( 내시경 ), 309

318 실리콘이미지센서 자동차 ( 전후방카메라 ), 방송 ( 드론 ) 등의산업에도영역을확대중 [ 실리콘이미지센서분야산업구조 ] 후방산업실리콘이미지센서분야전방산업 소재, 장비및부대설비 CMOS, CCD 휴대폰디지털카메라자동차의료기기산업기기보안 나. 경쟁환경 세계센서시장은 IT융합의진전으로센서사용이급증하고센서의첨단화추세에따라시장이급성장하고있으나, 국내산업의경쟁력은매우취약 우리나라경우센서핵심기술수준이선진국대비매우낮은수준으로, 13년기준글로벌센서시장에서시장점유율은 2.1% 수준에불과 다만, 스마트폰이미지센서분야에서는어느정도경쟁력을보유하고있으나화질이상대적으 로우수한 CCD 의원천기술은 Sony, Sharp, Matsushita 등의일본업체들이대부분보유하고 있으며, 시장점유율또한 96% 정도를차지 소니 (Sony) 는이미지센서원천기술력을바탕으로다양한영상기기에자사제품을탑재하며경쟁력을제고한결과, 14년 42%(Gartnrt 자료 ) 에달하는시장점유율 ( 매출기준 ) 로독보적 1위달성 소니제품은열악한환경에서촬영한영상도자체보정하는능력이뛰어나애플아이폰6, 삼성전자갤럭시S6 등고급형제품뿐아니라많은스마트폰제조업체가사용등 스마트폰 가전시장에서고전하며구조조정을단행한소니는이미지센서사업관련 R&D 투자를확대해경쟁력강화에매진 14년이미지센서를포함한디바이스부문에서무려 1,000억엔에달하는영업이익창출 2015년초부터 16년 3월까지 1,050억엔의투자계획을밝힌데이어 2015년도 4월에는 15년도이미지센서설비투자를위해 2,100억엔의재원을마련하는등전폭적인지원행보를가속화중 IoT 시대접어들면서이미지센서사용이광범위한분야로확대되고있어영향력은더커질것으로전망 310

319 전략제품현황분석 한편, 삼성전자 SK하이닉스등국내업체도기술경쟁력강화를통해중국스마트폰제조사등에납품을늘리면서점유율확대를도모하는중 삼성전자는 CMOS 이미지센서를구성하는화소에모이는빛을최대한활용할수있도록센서구조를변화시킨 아이소셀 (ISOCELL) 기술을보유하고있으며이를기반으로제품생산을본격화 2015년 4월고화소전면카메라용이미지센서를양산한데이어최근초소형 1600만화소를생산하며모바일용시장을집중공략 SK하이닉스는이미지센서개발회사인실리콘화일을완전자회사로편입 ( 14.4) 한이후전략을재정비하며고사양부터중저가형에이르는전제품군을갖추고 IoT 시대에대응하고있음 [ 실리콘이미지센서분야점유율 ] Sony Omnivision 삼성전자 Canon Aptina Sk Hynix 점유율 39.5% 16.2% 15.7% 6.4% 5.5% 3.9% * 출처 : TSR 실리콘화일, SETI, 픽셀플러스등의국내팹리스업체들이화소수에서는떨어지지만보안, 동작인식센서등의다양한방법으로 CMOS 이미지센서틈새시장을공략중 실리콘화일은보안카메라용이미지센서, 동작인식센서, 3D 이미지센서생산중 2004년부터동부하이텍과 CIS 칩위탁생산계약을맺고있는 SETI는중국시장공략이가능한 1~2개의보급형제품개발에주력 픽셀플러스는 CIS와시스템반도체 (SoC) 를전문으로하는업체로 2003년국내최초로카메라폰용 130만화소 CIS제품을개발해냈으며, 현재는 CIS를중심으로주변회로를모두내장한시스템반도체전문업체로성장함. 또한, 이외에휴대폰분야뿐만아니라시큐리티, 블랙박스, 의료영상장비에이르기까지영역을확대하는중 311

320 실리콘이미지센서 [ 제품분류별경쟁자 ] 구분 경쟁환경 분류자동차용이미지센서모바일 / 가전용이미지센서보안용이미지센서 주요제품 차량용후방감시카메라센서, 차선이탈경고, 차선유지기능센서 카메라용이미지센서, 동작인식센서, 3D 이미지센서, 보안센서지문센서 보안카메라센서 해외기업 Sony OmniVion Canon Sharp Aptina Sony OmniVision Canon Sharp Aptina 국내기업 삼성전자, SK 하이닉스실리콘화일, SETI, 픽셀플러스, 텍사스인스트루먼트 삼성전자, SK 하이닉스실리콘화일, SETI, 파트론, 드림텍, 엠씨넥스, 크루셜텍, 텍사스인스트루먼트 동부하이텍, 텍사스인스트루먼트, 한화테크윈픽셀플러스 다. 전후방산업환경 각종대상물을아날로그정보로감지해전기신호로변환하는다양한센서중사람의시각에 해당하는 이미지센서 수요가빠르게확산 특히간단한시스템구조 직접도 소형화에강점을보유한 CMOS 이미지센서 는자동차, 스마트홈 의료등다양한분야의첨단서비스제공을위한핵심부품으로확산 이에고집적 고감도 초소형 초절전형성능뿐아니라온도 화학작용까지감지할수있는인공지능 나노설계 공정기술개발등에선제적투자와지원필요 312

321 전략제품현황분석 3. 시장환경분석 가. 세계시장 미국반도체시장조사업체인 IC인사이트에따르면 2015년 99억달러를기록한가운데기존에주로적용되었던 CCTV, 스마트폰에서차량과드론의료기기, 스마트공장등에대거채택예정인바, 이를통해연평균 9% 성장하여 2020년에는 152억달러규모를기록할것으로전망 [ 실리콘이미지센서분야의세계시장규모및전망 ] 구분 ( 단위 : 억달러, %) CAGR ('13~'15) 세계시장 * 자료 : IC 인사이트자료를바탕으로전망치추정 품목별로카메라폰의적용비율은 2015년 70% 에서 48% 로감소하는반면에차량용은 3% 에서 14% 로증가할것으로전망 차세대자동차인스마트카에자율주행기능이채택되면, 이를구현하는시스템인 ADAS( 첨단운전자지원시스템 ) 는차선이탈경고나차선유지기능등에여러 CMOS 이미지센서사용확대 자동차시스템중보안및감시분야에서연간 36% 성장해 9.12억달러, 의료와과학애플리케이션이 34% 성장한 8.67억달러, 장난감 / 비디오게임분야가 32% 성장한 2.74억달러. 산업용시스템이 18% 성장한 8.97억달러를각각형성할것으로예측 CIS 핵심기술은후면조사 (BSI, BackSide Illumination) 방식이될것으로예상되는가운데 BSI 기술은반도체웨이퍼후면을가공해센서를뒤집은형태로만들어지며기존전면조사형 (FSI, FrontSide Illumination) 방식과는달리배선층이아래에있어빛손실이없어서보다나은사진결과물을내놓을수있고촬영감도역시높아짐 2012년 BSI 방식이전체 CIS 시장에서차지한매출액비중은 27% 에달했으며, 2018년에는이비중이 78% 까지높아질전망 차량용 CIS 적용을위해서제조사들은완성차업계가요구하는내구성수준을맞추는것이우선과제이기때문에업계는이부분의연구개발에집중, 모바일향 CIS 공급을차량용으로전환할것으로관측 313

322 실리콘이미지센서 * 출처 : insight [ 2015 년과 2020 년분야별 COMS 센서시장 ] 나. 국내시장 한국보건산업진흥원 2007 년과 2008 년통계중후두경수입액을참조로연평균증가건수를 산출한후이러한증가세가지속된다고가정할때, 연평균 7.3% 의매출성장률을보이며, 2020 년에는 183 억원가량의시장규모를형성할것으로추정 [ 실리콘이미지센서분야의국내시장규모및전망 ] 구분 ( 단위 : 억원, %) CAGR ('13~'15) 국내시장 * 자료 : 한국보건산업진흥원 2007, 2008 년통계를바탕으로전망치추정 314

323 전략제품현황분석 다. 무역현황 실리콘이미지센서의품목으로무역현황을분석하는데한계가있어수출품목중센서의무역현황을살펴보았으며, 최근 5년간무역수지적자기조지속 2000년대중반부터디지털카메라, 휴대폰및스마트폰, 자동차등의산업에이미지센서용이확대되면서이미지센서와압력센서의수입이크게증가하면서무역수지적자가크게확대된것으로파악되며, 이후에도적자가지속됨 최근 5년 ( 11-15년) 간연평균성장률을살펴보면수출금액은 51.6% 증가하고수입금액은 12.2% 에증가하는데그쳤으나수입량과수출금액의차이가현격함 [ 실리콘이미지센서관련무역현황 ] 구분 ( 단위 : 천달러, %) CAGR ('11~'15) 수출금액 806 3,467 17,618 4,884 4, 수입금액 11,494 16,467 21,836 18,780 18, 무역수지 -10,688-13,000-4,218-13,896-13,976 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 315

324 실리콘이미지센서 4. 기술환경분석 가. 기술개발트렌드 Image Sensor 는빛을전기적신호로바꿔주는반도체로휴대폰카메라나디지털카메라 등의주요부품으로다양한분야에서지속적수요예상 이미지센서 (Image Sensor) 는광학영상 (Optical Image) 을전기적신호로변환시키는반도체모듈로서, 그영상신호를저장및전송, 디스플레이장치로표시하기위해사용 이미지센서에는실리콘반도체를기반으로한고체촬상소자 (CCD : charge coupled device) 와상보성금속산화막반도체 (CMOS : complementary metal oxide semiconductor) 로크게두가지로분류 CCD형이미지센서와 CMOS형이미지센서는공통적으로광을받아들여전기신호로전환하는수광부를가지고있으며, CCD형이미지센서는이전기신호를 CCD( 전하결합소자 ) 를통해전달하며마지막단에서전압으로변환 반면에 CMOS형이미지센서는각화소에서전압으로신호를변환하여외부로전송 자료 : 물리학과첨단기술 ( ) [ CCD 와 CMOS 이미지센서의단위픽셀구조 ] CCD 이미지센서는지난 1960년대말경처음개발되어주로위성관련장치, 과학기재, 산업장비등에채용 CCD 이미지센서는광자를전자로변환시키는실리콘고유의기능을활용해빛의강도를측정하기위해픽셀단위의전하를사용해작동 CCD 센서의설계학적장점중하나는간결성으로전체픽셀영역은광자및저장전하감지에사용될수있는데, 이는동적범위를늘려주는최대신호수준을제공 이와동일한픽셀영역을사용해제한적인수의산출량에전하를전송시키는경우해당전하는전압으로변환 시간에따라이아키텍처는디자인을수정해인터라인전송 CCD 설계를포함시키게되는데, 이때 316

325 전략제품현황분석 카메라에있는기계형셔터의필요성을없앤픽셀단위의전자셔터를통합 최근의 CCD는촬상용으로최적화된커스터마이징반도체공정을사용해제작되는데, 외부회로를통해아날로그출력전압을추후공정에필요한디지털신호로전환 일반적으로 CCD는효율적인전자셔터기능, 광범위한동적인범위및일관되게우수한이미지를제공한다는특징을보유 자료 : ( 주 ) 플래닛 82 [ CCD 이미지센서 ] CCD 이미지센서는수광부 ( 광전변환부 ) 인포토다이오드와전하전송부인 VCCD, HCCD와신호출력부 (output Sensing Amp Region) 인부동확산 (Floating diffusion), 리셋게이트 (Reset Gate), 출력게이트 (Output Gate), Sense Amp로구성 수광부는입사된빛에너지를전기신호로변환하는역할을하며, 면적이클수록단위시간당동일한입사광에대하여많은빛을받아들일수있으므로축적되는전하량도증가 동일수광부면적에대해단위시간당동일한입사광에대해축적되는전하를수광부에서얼마나수용할수있는가가 CCD의특성을결정하는중요한요소 전하전송부는수광부에서축적된전하를손실없이출력부로전송하는역할을수행 수광부에서전하전송부를거쳐축적된전하는최종적으로 FD(Floating Diffusion) 영역에축전된다. FD에축적된전하는크기에비례하는전압으로감지되며, 감지된신호전압은출력신호를검출 즉, 신호출력부는 CCD에입사한빛의강도에비례하여축적되는신호전하를아날로그전압으로검출하는역할을수행 317

326 실리콘이미지센서 자료 : 우리투자증권리서치센터, 물리학과첨단기술 ( ) [ CCD 와이미지센서구성및내부구조 ] CMOS 이미지센서 (CMOS Image Sensor) 는 CMOS 반도체공정을이용해제작된소형이미지센서로렌즈를통해들어온빛을전기적신호로바꾸어사람이디스플레이장치로이미지를재현할수있도록해주는반도체소자 반도체소자제작을위해개발된공정을사용하는방식은디지털공정을직접칩으로통합시켜영상센서기능을개선시킬수있다는점에서 CCD 대비큰장점 CMOS 영상센서는 CCD와같이전하를적은수의산출물인전압으로변환시키는대신, 각픽셀 ( 각픽셀그룹 ) 안에트랜지스터를위치시키고전하를전압으로변환 이렇게함으로써전하가아닌전압이증가하게되므로더빠르고, 더다양한영상출력이가능 또한, 고급프로세싱기능이칩에직접통합됨에따라영상센서출력은 JPEG 영상또는 H.264 비디오스트림으로완전히재생 자료 : ( 주 ) 플래닛 82 [ CCD 이미지센서 ] 318

327 전략제품현황분석 CMOS 이미지센서의구조는 CCD와같이빛을받아들이는수광부는픽셀 ( 포토다이오드또는포토트랜지스터 ) 로이루어져있지만, 광차단박막금속통을기판가까이형성하기가어렵다는점이있고, 여러층의금속배선층을사용하기때문에수광부와최종상단의 Micro-lens까지의거리가좀멀다는단점을보유 CMOS 이미지센서는 CCD 이미지센서와는달리전하전송부채널이있는것이아니고, 마치 DRAM처럼 Cell에서바로전압이변환 따라서화소마다몇개씩의트랜지스터가필요하기때문에수광부면적비율이감소 CMOS 이미지센서는모든기능을 One-Chip화할수있으며, 낮은구동전압을사용하기때문에전력소모또한낮아서배터리의사용기간을연장시킬수있고그외에도영상신호처리등논리회로의집적화로 COC(Camera On a Chip) 가가능한장점보유 자료 : 하이칩스, 물리학과첨단기술 ( ) [ One-Chip 화된 CMOS 이미지센서의구성및내부구조 ] 새로운영상소자기술과제품개발뿐만아니라연산능력및고속데이터인터페이스 등지원플랫폼의발전에따라이미지센서의광범위한도입의지속적확산추세 CCD 영상센서가 CMOS 소자보다더나은촬상성능을제공해온반면, 최근들어이러한격차는상당히감소하고있는데이는 CMOS 영상센서의화상도가여러기기에채용되어사용하기에충분한성능의제품이출시됐기때문 최고급 CCD의일부촬상변수가이러한제품보다우월한반면 PYTHON 소자의화상도는인라인검사, 교통흐름모니터링 / 요금징수뿐아니라움직임동작의분석등에적합 이러한장점은 CMOS 기술의다른성능들에대한관심을증가시키고있는데, 프레임속도의향상, 저전력소비, 관심영역촬상 (ROI) 등이좋은예로이러한성능들로인해응용분야기기의생산성및채택이더욱개선 일부업계관계자들은 CCD 영상센서의종말을예견했는데, CMOS 기술이계속발전함에따라모든벡터에서 CCD 성능을능가할것으로전망한것이그이유 향후 CCD 및 CMOS 기술모두가계속발전하리라는것은의심의여지가없으나 CCD의기본 319

328 실리콘이미지센서 구조중일부영역들은끊임없이특정성능의장점을유지할것이므로고성능촬상에필요한산업용기기들은여전히 CCD를선호할것으로예상 CMOS 기술의발전으로인해이미지통일성이개선되고있지만, CCD 영상센서의고품질도계속되는추세 이러한기술들의직접적인결과로서 CMOS 소자들이수천개의분리증폭기를가진반면, ( 각열마다한개또는각픽셀마다한개 ) CCD는픽셀부터단일증폭기까지전하경로를만들고센서출력에서증폭기별차이를제거 높은이미지균일성은의학및과학용영상촬영또는전체공정검사등응용분야에서매우중요한데이경우적용기기들의이미지는깨끗하면서도미처리된상태를유지하는특성을보유 고해상및대형광학포맷으로조절된후균일성을유지하는것은 CCD가 CMOS 소자에서보다상대적으로쉬움 CCD 디자인의아날로그적특성은 CCD 카메라를특정기기에조정되도록만든후이의특정한촬상특성을최적화 320

329 전략제품현황분석 나. 주요업체별기술개발동향 (1) 해외업체동향 세계이미지센서시장은일본업체가선도 소니는이미지센서원천기술력을바탕으로다양한영상기기에자사제품을탑재하며경쟁력을제고한결과, 14년 42% 에달하는시장점유율 ( 매출기준 ) 로독보적 1위달성 화질이상대적으로우수한 CCD 시장은원천기술을확보한 Sony, Sharp, Panasonic 등의일본업체가시장의 90% 이상의점유율을차지 특히 CCD 시장에서소니와마쯔시다는선발업체라는이점을살려전세계이미지센서시장에서절대적인영향력을행사 90년대초반 CMOS 이미지센서가상용화되고휴대폰부품으로써작고싼카메라모듈에최적화된저가형 CMOS이미지센서가휴대폰시장과함께폭발적성장을이루면서 CCD센서의독점적시장지위가약해지기시작 현재 CCD 센서는과거이미지센서시장의 80% 이상을차지하였으나현재주로 Machine Vision용으로사용되며전체시장의절반정도를차지하고있으나점차감소중 하지만 CCD 센서는촬상성능과전자다중증폭장치 (EMCCD) 산출물에서얻은극저조명감도를결합한신 CCD 기술플랫폼을통하여 CMOS 센서에서는불가능한어두운조명에서별개의부분은밝은조명 ( 거리조명 ) 으로비춘이미지를촬상하도록지원하는기술을활용하여과학및의학용영상촬영등낮은조도적용어플리케이션을대상으로새로운시장을창출중 * 자료 : Yole develomppement [ 이미지센서형태별시장점유율 ] 이미지센서시장은향후스마트폰, 태블릿, 디지털카메라등모바일기기의배터리사용시간을늘릴수있는저전력기술구현에부합성을보이는 CMOS 센서가주도해나갈것으로예상 321

330 실리콘이미지센서 CMOS 이미지센서는스마트폰 디지털카메라등기존시장을기반으로최근에는무인자동차 블랙박스 드론 스마트홈 웨어러블기기에이르는 IoT 영역으로확산이가속화전망 CMOS 시장은 2012년전체이미지센서시장의 32% 를차지하였으나 2015년현재는 50% 를차지하며가파르게성장중 CMOS 생산주요기업은 CMOS센서를병행생산중인 LG, 삼성, 소니및옴니비전, 도비사, ST Micro, Aptina 등 소니는 2012년세계최초로필름사이즈와동일한대형이미지센서를내장한소형디지털카메라 RX1 을출시해 2,400만화소를구현 Sony는 2013년미국샌프란시스코에서열린국제고체회로학회 (ISSCC) 에서실리콘관통전극 (TSV) 기술을적용한 800만화소 CIS를공개 이제품은 2층웨이퍼구조를통해위쪽에는 90나노공정을적용한후면조사용 (BSI, BackSideIllumination) CIS, 아래쪽은 65나노공정을통해 240만게이트로직칩으로구성해두웨이퍼의가장자리에서 TSV 방식으로연결 이러한결과소니제품은열악한환경에서촬영한영상도자체보정하는능력이뛰어나애플아이폰6, 삼선전자갤럭시 S6에등고급형제품뿐아니라많은스마트폰제조업에서사용 애플 삼성전자가장악한스마트폰 가전시장에서고전하며구조조정을단행한소니는이미지센서사업만큼은 R&D 투자를확대해경쟁력강화에매진 Omnivision은카메라이미지센서인 OV16820과 OV16825를 2012년 5월에공개 Omnivision사는일본의 Sharp와한국의 Samsung과함께이미지센서시장에서 Sony를위협하고있는기업 해당사에서개발한 CMOS 이미지센서는 3,840 2,160 해상도에서초당 60fps 촬영, 4,608 3,456 해상도에서초당 30fps 촬영과 4K 해상도에 12비트 RAW 포맷이미지를지원하며, 아직샘플링단계로 2013년양산시작 OmniVision은 OV7955라고하는통합이미지센서를이미개발해자동차의후사경애플리케이션을위해설계되어이미지센서, on-chip DSP(digital signal processor), 이미지메모리를결합 322

331 전략제품현황분석 (2) 국내업체동향 이미지센서의국내주요업체는 CMOS 분야에삼성전자, 실리콘화일, SETI, 픽셀플러스등이존재 CMOS를생산하는국내팹리스업체들이화소수에서는떨어지지만, 보안, 동작인식센서등의다양한방법으로 CMOS 이미지센서틈새시장을공략중 삼성전자는카메라모듈의세계상위권유지를위해관련전문인력양성과차별화기술을통해질적우위 ( 삼성전기연구원 13명세계인명사전등재 ) 와더불어, 해외공장설립등으로비용적인우위를확보 삼성전자는 CMOS 이미지센서를구성하는화소에모이는빛을최대한활용할수있도록센서구조를변화시킨 아이소셀 (ISOCELL) 기술을보유하고있으며이를기반으로제품생산을본격화 2015년 4월고화소전면카메라용이미지센서를양산한데이어최근초소형 1600만화소를생산하며모바일용시장을집중공략 실리콘파일은 2008년부터유지해오던 SK하이닉스와의공동협력체계에서 2014년 4월완전자회사로편입 ( 14.4) 2011년주력제품이던 200만화소 CIS를통해흑자전환에성공했으며, 2012년들어신규제품개발에박차를가해 300만화소 CIS 제품으로 2012년상반기 449억원의매출액을기록 보안카메라용이미지센서, 동작인식센서, 3D 이미지센서등의고사양부터중저가형에이르는전제품군을갖추고 IoT 시대에대응할방침 SETI는 2004년부터동부하이텍과 CIS 칩위탁생산계약을맺어왔으며다양한 CIS 제품을개발해, 중국시장공략 하지만 2011년이후중국업체와의다양한라인업으로는중국업체대비경쟁력이밀린다고판단하여신규제품개발라인업을대폭줄이고 1~2개의보급형주력제품에만집중하여중국시장공략중 픽셀플러스는 2000년설립된픽셀플러스는 CIS와시스템반도체 (SoC) 를전문으로하는업체 2003년국내최초로카메라폰용 130만화소 CIS제품을개발해냈으며, 현재는 CIS를중심으로주변회로를모두내장한시스템반도체전문업체로성장하중 또한, 휴대폰분야뿐만아니라시큐리티, 블랙박스, 의료영상장비에이르기까지영역을넓혀나가고있다. 323

332 실리콘이미지센서 다. 기술인프라현황 중소기업청에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록지원하는연구장비공동활용지원사업을운영 중소기업청산하중소기업기술정보진흥원을통해대학및연구기관이보유한연구장비를소프트웨어의중소기업공동활용을지원하여국가장비활용도제고와중소기업기술경쟁력향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 * 자료 : 중소기업기술정보진흥원 [ 연구장비공동활용지원절차 ] 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형 실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수 있는인프라를제공 324

333 전략제품현황분석 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소 중견기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 자료 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교육을진행 325

334 실리콘이미지센서 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 자료 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 326

335 전략제품현황분석 라. 특허동향분석 (1) 연도별출원동향 실리콘이미지센서기술의지난 7년 ( 10~ 16) 간출원동향 34) 을살펴보면 10년이후로소폭감소추세를보이고있지만, 최근출원건이유지되고있어지속적으로실리콘이미지센서관련기술개발활발 각국가별로살펴보면일본출원경향은지속적인감소추세, 한국, 미국, 유럽은증가-유지추세를보이고있음 국가별출원비중을살펴보면일본이 53.2% 로최대출원국으로실리콘이미지센서기술을리 드하고있는것으로나타났으며, 미국이 35.6%, 한국이 8.1%, 유럽은 3.0% 순으로나타남 [ 실리콘이미지센서분야연도별출원동향 ] 34) 특허출원후 1 년 6 개월이경과하여야공개되는특허제도의특성상실제출원이이루어졌으나아직공개되지않은미공개데이터가존재하여 2015, 2016 년데이터가적게나타나는것에대하여유의해야함 327

336 실리콘이미지센서 (2) 국가별출원현황 한국의출원현황을살펴보면증가-감소추세를반복적으로보이고있으며, 외국인출원비중이내국인출원보다월등히높은것이특징 외국인의출원비중이높은이유를살펴보면실리콘이미지센서기술의국내시장에대한외국인의선호도가높은것으로추정 일본의출원현황은 10 년이후지속적으로감소추세를보이고있으며, 자국인출원이외국인 출원에비해월등히높은것이특징이며, 이는일본시장에대한외국인선호도가낮은것으로 추정 미국은 10 년이후소폭감소추세를보이다가 14 년이후증가추세로전환되었으며, 외국인출 원비중이자국인출원보다월등히높고자국인의출원이미미 유럽은일본과비슷하게 10 년이후지속적으로감소추세를보이고있으며, 출원인대부분이 외국인으로자국인의출원이미미 [ 국가별출원현황 ] 328

337 전략제품현황분석 (3) 투입기술및융합성분석 실리콘이미지센서분야의투입기술을확인하기위하여특허분류코드인 IPC Code 35) 를통하여살펴본결과실리콘이미지센서분야의가장높은 IPC는 H01L 기술분야가 2337건으로가장많이차지하고있으며, 이어서 H04N이 1494건, G02B가 267건으로다수를차지 이외에 G03F 200건, C09B 118건, C08L 67건, H03M 53건, C08F 27건, H03K 18건, G01J 12건순으로기술이투입되어있어실리콘이미지센서분야에다양한기술이융합되어존재 더불어해당 IPC의특허인용수명을살펴보면 C08F 기술분야의수명이 9년으로가장긴것으로나타났으며, G03F 기술분야는 5년으로가장짧은것으로분석 [ 실리콘이미지센서분야상위투입기술 ] IPC 기술내용특허인용수명 (TCT) 36) H01L 반도체장치 ; 다른곳에속하지않는전기적고체장치 6 년 H04N 화상통신 7 년 G02B 광학요소광학계또는광학장치 6 년 G03F 사진제판법에의한요철화또는패턴화표면의제조 5 년 C09B 유기염료또는염료제조에밀접한관련이있는화합물 ; 매염제 ; 레이크 8 년 C08L 고분자화합물의조성물 8 년 H03M 복호화또는부호변환일반 5 년 C08F 탄소 - 탄소불포화결합만이관여하는반응으로얻어지는고분자화합물 9 년 H03K 펄스기술 6 년 G01J 적외선, 가시광선또는자외선의강도, 속도, 스펙트럼, 편광또는위상또는펄스의측정 ; 색의측정 ; 방사온도측정 7 년 35) 전세계적으로통용되고있는국제특허분류 (IPC: International Patent Classification) 를통해특허정보기술분야에서공지기술을조사할수있으며, 기술및권리정보에용이하게접근가능 36) 특허인용수명지수는후방인용 (Backward Citation) 에기반한특허인용수명의평균, Q1, Q2( 중앙값 ), Q3 에대한통계값을제시함. 특히이와같이산출된 Q2 는 TCT(Technology Cycle Time, 기술순환주기또는기술수명주기 ) 라고부름 329

338 실리콘이미지센서 투입기술이가장많은 H01L 분야와융합이높게이루어진기술은 H01J 분야로나타났으며, B82Y, G03F 분야와도융합된기술의건수가높은것으로분석 이외에 H04N 분야와융합된기술은 H03M, G03B, G06T 분야와융합된기술이많은것으로나타났으며, G02B 분야와융합된기술은 G03F, C09B, G03B 기술로분석 [ 실리콘이미지센서분야 IPC 기술및융합성 ] (4) 주요출원인분석 세계주요출원인을살펴보면주로일본의출원인이다수의특허를보유하고있는것으로나타났으며, 전자, 카메라, 광학관련분야의출원인이대부분 주요일본출원인을살펴보면 SONY, FUJIFILM, TOSHIBA, CANON, PANASONIC, OLYMPUS 등전자, 카메라, 광학등관련부품전문기업이다수출원을하고있는것으로나타났으며, 이들일본출원인은주로일본본국에출원건수가높은것으로나타남 가장많은특허를보유하고있는 SONY 의 3 극패밀리수가 259 건으로다국적으로시장을확보 하며출원을하고있는것으로보이며, FUJIFILM 도 171 건으로다국적시장을확보 330

339 전략제품현황분석 일본기업인 TOSHIBA 가확보한특허의피인용지수가 2.43 로가장높게나타나기술의파급 성이높은원천기술을다수보유하고있는것으로분석됨 [ 주요출원인의출원현황 ] 주요출원인 국가 주요 IP시장국 ( 건수 %) 한국미국일본유럽 IP 시 장국 종합 3 극 패밀 리수 ( 건 ) 피인용 지수 주력기술분야 SONY 일본 % 50% 42% 4% 미국 A/D 변환기 FUJIFILM 일본 % 14% 62% 3% 일본 컬러필터 TOSHIBA 일본 % 55% 44% 1% 미국 고감도광흡수체소재 CANON 일본 % 19% 75% 3% 일본 이미지센서구조 PANASONIC 일본 % 40% 59% 0% 일본 이미지센서집적회로 OLYMPUS 일본 % 43% 51% 6% 일본 이미지센서접합구조 SHARP 일본 % 5% 92% 1% 일본 이미지센서구조 NIKON 일본 % 10% 88% 1% 일본 이미지센서노이즈저감기술 PANASONIC INTELLECTUAL PROPERTY 일본 % 94% 6% 0% 미국 고감도다색샌싱기술 RENESAS ELECTRONICS 일본 % 29% 71% 0% 일본 이미지센서제조공정기술 331

340 실리콘이미지센서 (5) 국내출원인동향 국내출원인동향을살펴보면대기업은삼성전자의출원건수가가장높게나타났으며, 중소기업에서는테라셈주식회사의출원건수가높게나타남 대기업의주요출원인은에스케이하이닉스, 엘지전자, 동부하이텍, 동우화인켐등이있으며, 중소기업의주요출원인은주식회사엘엠에스, 실리콘화일, 실리콘디스플레이 ( 주 ), 클레어픽셀주식회사, 비트등이주요출원인인것으로나타남 기업이외의주요출원인을살펴보면한국화학연구원, 한국과학기술원, 한국광기술원등연구소 / 공공기관의출원이다수나타났으며, 대학은경희대학교, 전북대학교, 고려대학교의출원이높 은것으로분석됨 [ 국내주요출원인의출원현황 ] 332

341 전략제품현황분석 5. 중소기업환경 가. 중소기업경쟁력 실리콘이미지센서분야의중소기업경쟁력은자동차용이미지센서와모바일 / 가전용이미지센 서에비해보안용이미지센서기술에서상대적인강점을보이는것으로나타남 [ 실리콘이미지센서분야중소기업현황 ] 기술분류주요제품대기업중소기업 중소기업 참여영역 중소기업 참여정도 자동차용이미지센서 차량용후방감시카메라센서, 차선이탈경고, 차선유지기능센서 삼성전자, SK 하이닉스 실리콘화일, SETI, 픽셀플러스 차량용후방감시카메라센서, 차선이탈경고, 차선유지기능센서 모바일 / 가전용이미지센서 카메라용이미지센서, 동작인식센서, 3D 이미지센서, 보안센서지문센서 삼성전자, SK 하이닉스 실리콘화일, SETI, 파트론, 드림텍, 엠씨넥스, 크루셜텍 카메라용이미지센서, 동작인식센서, 3D 이미지센서, 보안센서지문센서 보안용이미지센서 보안카메라센서 동부하이텍, 한화테크윈 실리콘화일, 픽셀플러스 보안카메라센서 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 333

342 실리콘이미지센서 나. 중소기업기술수요 실리콘이미지센서분야중소기업의기술수요를파악하기위하여중소기업기술수요조사및중 소기업청 R&D 신청과제 (2013~2015 년 ) 를분석한결과아래표의내용과같은수요들이다수있 는것으로분석 [ 실리콘이미지센서분야과제신청현황및수요조사결과 ] 전략제품기술분류관심기술 픽셀기술 고해상도지문및터치센서기술 실리콘이미지센서 신호처리기술 CMOS 센서용이미지신호처리프로세서기술 패키징기술 고화소이미지센서 Chip Scale Package 기술고신뢰성이미지센서패키지 CMOS 이미지센서카메라모듈신뢰성 (AEC-Q100) 검증 334

343 전략제품현황분석 다. 중소기업핵심기술 (1) 데이터기반요소기술발굴 실리콘이미지센서기술의특허및논문데이터검색을통해도출된유효데이터를대상으로데이터마이닝기법 (Scientometrics 기법 ) 을통해클러스터링된키워드의연관성을바탕으로요소기술후보군을도출 실리콘이미지센서기술의특허및논문유효데이터를기반으로키워드클러스터링을통하여 12 개의요소기술후보군을도출 제품별 dataset 구축 : 실리콘이미지센서기술관련특허 / 논문데이터를추출하여노이즈제거후제품별 dataset 구축 1차클러스터링 : 키워드맵을통한고빈도키워드확인-빈도수 (tf-idf) 37) 가상위 30% 에해당하는키워드를대상으로 1차추출 2차클러스터링 : 1차클러스터링에서추출된고빈도키워드사이에서고연관도키워드를 2차추출 ( 고연관도기준은연관도수치 38) 가 2이상인클러스터로제한 ) 다음그림은키워드간연관네트워크를시각화한것으로, 각키워드를나타내는원과키워드간의연관도를나타내는직선으로구성 각키워드가특허와논문중어느데이터에서도출되었는지원의색으로구분하였으며, 키워드로도출된클러스터는황색음영으로표시 키워드를나타내는원은고빈도의키워드일수록원의크기가크게표현되며, 연관도를나타내는선은키워드사이의연관도수치가높을수록굵게표현 실리콘이미지센서기술전략제품의특허 논문유효데이터에대하여키워드클러스터링결과를기반으로요소기술도출 데이터기반의요소기술도출은키워드클러스터링을통해도출된요소기술에대하여전문가의검증및조정을통하여요소기술을도출 37) 빈도수 (tf-idf) : 각키워드가출현되는특허또는논문수를의미 38) 연관도수치 : 두개이상의키워드사이의특허또는논문수를의미 335

344 실리콘이미지센서 [ 실리콘이미지센서분야키워드클러스터링 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 image sensor, pixel [ 실리콘이미지센서기술분야주요키워드및관련문헌 ] 6~9 1. PIXEL HAVING TWO SEMICONDUCTOR LAYERS, IMAGE SENSOR INCLUDING THE PIXEL, AND IMAGE PROCESSING SYSTEM INCLUDING THE IMAGE SENSOR 2. Solid state image pick-up device, and pixel 3. Pixel having two semiconductor layers, image sensor including the pixel, and image processing system including the image sensor 클러스터 02 image sensor, electronics 4~7 1. Solid-state image sensor, method of producing the same, and electronic apparatus 2. SOLID-STATE IMAGE SENSOR, SIGNAL PROCESSING METHOD AND ELECTRONIC APPARATUS 3. ANALOG-DIGITAL CONVERTER, SOLID-STATE IMAGE SENSOR, AND ELECTRONIC APPARATUS FOR INCREASED RESOLUTION OF AN IMAGE 336

345 전략제품현황분석 No 주요키워드연관도수치관련특허 / 논문제목 클러스터 03 image sensor, photoelectric 6~8 1. Solid-state image sensor including a photoelectric conversion element, a charge retaining element, and a light shielding element, method for producing the same solid-state image sensor, and electronic apparatus including the same solid-state image sensor 2. Photoelectric conversion element, production method for a photoelectric conversion element, solid-state image sensor, production method for a solid-state image sensor, electronic apparatus, photoconductor, production method for a photoconductor and multilayer transparent photoelectric conversion element 클러스터 04 image sensor, imaging device 4~7 1. Sensor unit and solid-state imaging device 2. Color filter array, solid-state image sensor, and imaging device 3. Solid-state image sensor and imaging device 클러스터 05 클러스터 06 클러스터 07 클러스터 08 image sensor, color filter image sensor, film image sensor, Polymerizable composition image sensor, lens 4~7 5 6~8 6~8 1. Method of fabricating semiconductor image sensor device having back side illuminated image sensors with embedded color filters 2. Colored composition, cured film, color filter, method for producing color filter, solid-state image sensor, and image display device 1. Photo-sensitive resin composition, cured film, method for forming a pixel, solid state image sensor, color filter and ultraviolet absorber 2. Colored composition, cured film, color filter, method for producing color filter, solid-state image sensor, and image display device 1. Polymerizable composition, color filter, method of producing color filter and solid-state image sensor 2. Dispersion composition, polymerizable composition, light-shielding color filter, solid-state image pick-up element, liquid crystal display device, wafer level lens, and image pick-up unit 1. Method and system for image sensor and lens on a silicon back plane wafer 2. Dispersion composition, polymerizable composition, light-shielding color filter, solid-state image pick-up element, liquid crystal display device, wafer level lens, and image pick-up unit 클러스터 09 image sensor, wafer 4~7 1. Double-sided image sensor formed on a single semiconductor wafer die 2. Wafer level compliant packages for rear-face illuminated solid state image sensors 클러스터 10 image sensor, epitaxial 4~7 1. Method of producing silicon wafer, epitaxial wafer and solid state image sensor, and device for producing silicon wafer 2. METHOD OF PRODUCING SEMICONDUCTOR EPITAXIAL WAFER, SEMICONDUCTOR EPITAXIAL WAFER, AND METHOD OF PRODUCING SOLID-STATE IMAGE SENSOR 337

346 실리콘이미지센서 [ 실리콘이미지센서분야데이터기반요소기술 ] No 요소기술명키워드 요소기술 01 실리콘이미지센서 APS Array 기술 image sensor, array 요소기술 02 실리콘이미지센서컬러필터기술 image sensor, color filter 요소기술 03 IR 필터내장형렌즈기술 filter, lens 요소기술 04 다수캐비티금형및성형기술 cavity, mold 요소기술 05 실리콘이미지센서암전류제거기술 image sensor, dark current 요소기술 06 실리콘이미지센서고정패턴잡음 (FPN) 제거기술 image sensor, fixed pattern noise 요소기술 07 실리콘이미지센서기타잡음제거기술 image sensor, noise 요소기술 08 실리콘이미지센서본딩기술 image sensor, bonding 요소기술 09 실리콘이미지센서이면연마기술 abrasive, backside 338

347 전략제품현황분석 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ 실리콘이미지센서분야요소기술도출 ] 분류요소기술출처 실리콘이미지센서 APS Array 기술 특허 / 논문클러스터링 픽셀 (pixel) 실리콘이미지센서컬러필터기술 IR 필터내장형렌즈기술 특허 / 논문클러스터링, 전문가추천 특허 / 논문클러스터링, 전문가추천 다수캐비티금형및성형기술 특허 / 논문클러스터링 실리콘이미지센서암전류제거기술 특허 / 논문클러스터링, 전문가추천 신호처리 실리콘이미지센서고정패턴잡음 (FPN) 제거기술 특허 / 논문클러스터링, 전문가추천 실리콘이미지센서기타잡음제거기술 특허 / 논문클러스터링, 전문가추천 제조공정 실리콘이미지센서본딩기술 실리콘이미지센서이면연마기술 특허 / 논문클러스터링 특허 / 논문클러스터링 패키징 고접착 / 고신뢰성박막필름기술 감광성필름박리력조절기술 특허 / 논문클러스터링 특허 / 논문클러스터링 339

348 실리콘이미지센서 (3) 핵심기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심기술선정위원회를통하여중소기업에적합한핵심기술선정 핵심기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업적합성 (10) 을고려하여평가 [ 실리콘이미지센서분야핵심기술 ] 분류핵심기술개요 픽셀 실리콘이미지센서컬러필터기술 각화소에필요한파장의빛만통과시켜이를실리콘포토다이오드에입사시키그신호를조합해서컬러영상을만드는것으로실리콘공정후포토다이오드위헤적층하는유기물질등으로구성된컬러필터관련기술 IR 필터내장형렌즈기술 유전체코팅공정을이용한 IR-Cutoff 필터기능의렌즈 ASS Y 기술 실리콘이미지센서암전류제거기술 누수전류가주원인으로빛의축적시간동안꾸준하게포토다이오드에축적되는암전류를제거하는잡음제거기술 신호처리 실리콘이미지센서고정패턴잡음 (FPN) 제거기술 공정상의불균질성으로인해획득된영상에나타난얼룩 (FPN) 을제거하는기술 실리콘이미지센서기타잡음제거기술 인접픽셀간커플링노이즈, 이미지데이터의샘플링과관련되는 kt/c 잡음, 이미지신호를증포하기위해사용되는회로와관련되는 1/f 잡음, 이미지센서의출력을시간적으로변화하는랜덤노이즈, 이미지센서의픽셀출력에서픽셀리셋에기인한리셋노이즈등을제거하는기술 340

349 전략제품현황분석 6. 기술로드맵기획 가. 실리콘이미지센서기술로드맵 341

350 실리콘이미지센서 나. 연구개발목표설정 [ 실리콘이미지센서분야핵심기술연구목표 ] 분류핵심기술기술요구사항 연차별개발목표 1 차년도 2 차년도 3 차년도 최종목표 실리콘이미지센서컬러필터기술 컬러필터특성향상도 60-70% 70-85% 85-95% 컬러이미지향상 픽셀 (pixel) IR 필터내장형렌즈기술 IR 필터내장렌즈 90% 이상 95% 이상 98% 이상 선명도향상 실리콘이미지센서암전류제거기술 암전류제거율 60-70% 70-85% 85-95% 온도에변화영향을받는잡음제거 신호처리 실리콘이미지센서고정패턴잡음 (FPN) 제거기술 영상얼룩제거율 85% 85-95% 95% 이상 영상얼룩제거 실리콘이미지센서기타잡음제거기술 잡음제거율 80-85% 85-95% 95% 여러잡음제거 342

351 LED 소자 전략제품현황분석

352

353 LED 소자 정의및범위 LED 소자는수의캐리어가전자인 n형반도체결정과다수의캐리어가정공인 p형반도체결정이서로접합된구조를갖는광 전기변환형의반도체소자 LED 산업은반도체공정인소자제조부터응용제품적용에이르는복합적인공급체계로구성되며, LED 산업범위는 LED 및관련부품소재를포함한소자산업과 LED를접목시켜파생된응용제품산업으로분류가가능 정부지원정책 2020 년까지조명전체의 60% 를 LED 조명으로교체한다는 LED 조명 2060 계획 실시 녹색성장산업의신성장동력창출전략가운데 LED 는에너지사용측면에서가장유망한분야로선정하 여집중적인지원정책을전개 중소기업시장대응전략 강점 (Strength) 약점 (Weakness) 중장기적규모의연구개발지원부족 반도체기반기술의 LED제조응용강점 세계최초국내규격작성및국내외표준화의대외적선도기회 (Opportunity) 정부의녹색산업성장관련지원정책확대 LED의응용은조명뿐만아니라타산업과융합추이 세계적원천특허시효만료 일본과유럽, 미국기업들에비해원천적소자기술및전문인력부족 중소기업위주로산업자생력부족 관련장비 부품 소재기술력취약위협 (Threat) 글로벌선진기업시장선점에따른높은진입장벽 중국, 대만업체의저가공세 미국, 유럽, 일본, 중국등자국산업보호및육성을통한적극적인지원책추진 중소기업의시장대응전략 LED 소자기술의다양한응용분야적용을통한신규시장창출 LED 관련물질부터제조방식관련특허등다양한지적재산권보유를통한기술경쟁력확보

354 핵심기술로드맵

355 전략제품현황분석 1. 개요 가. 정의및필요성 LED(Light Emitting Diode) 소자는다수의캐리어가전자인 n형반도체결정과다수의캐리어가정공인 p형반도체결정이서로접합된구조를갖는광 전기변환형의반도체소자로서, 화합물반도체특성을이용하여전기신호를원하는영역의파장대역 (UV~IR) 을갖는빛으로변화시켜신호를보내거나발광된빛을조명으로사용 LED는 LCD 디스플레이용 BLU 광원, 건물등의옥내외조명, 자동차용조명, 기타통신, 의료용및농수산분야등의다양한분야에광범위하게적용되고있으며, LED 조명, 응용제품의성능을 1차적으로결정하는핵심소자임 * 출처 : 한국산업기술평가관리원 [ LED 의응용및타산업간융합 ] LED는기존광원대비고효율과장수명, 빠른응답속도, 친환경성등의장점이있어그린조명실현이용이하며, 이에따라기존광원의 LED 대체에의해전기료절감및 CO2 배출량감소의효과있음 347

356 LED 소자 LED 소자는선명한단색및총천연색연출이가능하고, 고효율 장수명 무중금속대체광원으로에너지절감이가능하며, IT 기술에의한초고속디지털원격제어가가능 LED는점광원 초소형광소자로, 선 / 면 / 공간디자인의제공이가능한장점이있어, 기존조명을활발히대체중 세계전력소비에서조명은 19% 를차지하고있으며, 경제적으로에너지절감효과가큰동시에환경친화적인장점이있어 LED 조명보급에관심이높아지고있는추세 LED는조명의패러다임을감성조명구현을위한광반도체디지털조명으로바꾸는조명기술로일대변혁을가져오고있으며, 뛰어난에너지절감효과로인해저탄소사회의구현과녹색성장을동시에이룰수있는성장산업중하나 나. 범위 (1) 제품분류관점 LED 산업은반도체공정인소자제조부터응용제품적용에이르는복합적인공급체계로구성 되며, LED 산업범위는 LED 및관련부품소재를포함한소자산업과 LED 를접목시켜파생된응 용제품산업으로분류가가능 LED 는일반적으로에피 (Epi), 칩 (Chip), 패키지 (Package), 모듈 (Module) 및시스템 (System) 기술 로분류 Epi Wafer Chip Package Epitaxial 성장에의해만들어진반도체기판 [ LED 소자분류 ] 전극을형성하여개별칩으로절단 칩과리드를연결하고빛의외부방출을위하여칩포장 LED 소자는방출하는빛의종류에따라가시광선 LED, 적외선 LED(IR LED), 자외선 LED(UV LED) 로구분가능 가시광선 LED는전체 LED 시장의 90~95% 를차지하고있으며, 적색, 녹색, 청색, 백색 LED 등 적외선 LED는리모컨, 적외선통신등에사용되고있으며, 시장규모는전체 LED 시장의 5% 수준임 자외선 LED는경화및살균, 피부치료등산업용경화기나생물 보건분야에사용되고있으며, 시장규모는 2% 미만이나점차확대되고있는추세임 348

357 전략제품현황분석 LED는칩의구조에따라수평형 (Lateral) 과수직형 (Vertical) 으로분류 칩의구조에따른수직형의경우, 에피면-다운 (Epi-Side Down) 방식이라불리며, 수평형 (Epi-Side Up 방식 ) 의광손실및열에의한신뢰성저하문제를해결하기위해전극을배면에형성한구조로, 칩효율이높아주로조명등의고출력 LED에적용 [ 칩구조에따른분류 ] 수평형 (Lateral) 수직형 (Vertical) [ 제품분류관점기술범위 ] 전략제품제품분류관점세부기술 Efficiency Droop 개선에피기술 가장효율이좋은특정전류값관련기술 효율이저하되기시작하는문제점 (Efficiency Droop) 해결을위한기술 에피성장 고내정전압에피성장기술 정전압의크기에따른동작민감도개선기술 동작신뢰도향상을위한고정전압내성증가기술 나노기술을이용한고인듐조성 InGaN 층에피기술 LED 소자 고효율고인듐활성층성장기술 고인듐조성을위한극성제어에피기술 InGaN/GaN 초격자등응력조절층구조성장기술 4성분계 AlInGaN Quantum Barrier 및 Multi Quantum Barrier 성장기술 인쇄형 LED 패키지기술 대면적작업영역에고열전도성및전기전도성접합재를스크린이나 그라비어방식등을이용하여도포하는기술 패키징 고점도봉지재인쇄기술 대면적 Working Size 소자접합기술 봉지재를잉크젯또는디스펜서로분사하여 LED 소자에도포하는기술 대면적작업영역안에서다수개의칩들을동시에접합시킬수있도록 Multi-chip Mounter 등다수개의헤더를동시에운용제어하는기술 349

358 LED 소자 (2) 공급망관점 LED 소자는화합물반도체특성을이용하여전기에너지를빛에너지로효율적으로전환하여최적 의효율로안정적으로방출되도록하는 LED 광원을말하며, 이를위해서는에피공정 / 장비, 칩공정 / 장비, 패키지, 제어장치등이필요 [ 공급망관점기술범위 ] 전략제품공급망관점세부기술 LED 소자 배선인쇄기술 봉지재층수직배선연결기술 다층투명절연층형성기술 고해상도 LED 디스플레이모듈을위해연성기판을중점적으로회로및방열배선을인쇄공법으로형성하는기술 LED 위에봉지재가덮인상태에서레이저를이용하여전극패턴위에비아를형성한후인쇄방법을적용하여전기적배선을형성하는기술 봉지재위에형성된배선을보호하기위해투명절연막을형성하는기술 * 출처 : 한국산업기술평가관리원 [ LED 소자제조공정및장비현황 ] 350

359 전략제품현황분석 2. 산업환경분석 가. 산업특징및구조 (1) 산업의특징 LED 산업은기판, 에피웨이퍼, 광소자 ( 에피칩 ) 를제조하거나, 제조된 LED 광소자를이용하여정보가전제품의표시및백라이트조명모듈, 대면적 LED 스크린디스플레이와조명디스플레이, 직 간접조명기기등의모듈및시스템제품을제조하는산업 LED 산업은고효율로빛을방출하는반도체소자와광원모듈을제조하고, 이를이용하여 LED 조명과 LED 응용융 복합제품을제조하는기술및관련산업으로정의 LED 산업은광소자의성능이핵심이지만광소자의성능에만의존하는소자산업의범위를이미넘어섰으며, 막대한규모의시장성때문에모듈및시스템산업이점차중요해지고있는추세 LED 소자분야는반도체기반산업으로서기술난이도가높고초기투자비용이많으며부가가치가높은산업의특징을가지는반면, 광원 / 조명 / 시스템분야는후방산업에비해기업인프라가많고거대경제규모및높은성장성등이특징 소자분야에주로글로벌기업및대기업들이경쟁하고있으며, 조명 / 시스템분야로갈수록중소기업의참여정도가커지는형태 국내 LED 산업은 2009년중대형 LED BLU 상용화를계기로중간출력대 (Middle Power) 의생산력과성능수준은세계정상급에도달하였으나, 고부가가치조명용 LED 칩의기술수준은선진국과격차가존재 국내외대기업의대규모투자와자체수직계열화를통해 LED 조명제품의저가격화의실현으로중소기업시장의경쟁력이약화되고있는추세 2012년세계적으로백열전구판매및생산금지와독일, 스위스등단계적원전폐쇄, 일본원전사태에따른전력공급부족예상에따라고효율조명보급및시장이확대 중소기업의활발한해외진출에의해일본을중심으로미국, 러시아, 남미, 동남아시아등에시범및보급프로젝트에참여진행중 전기에너지절감시장확대로백열전등과같은고전력소비제품퇴출및 LED와같은저전력제품의상용화가강화되고있는추세 백열전구는에너지효율이매우낮고수명도짧기때문에, 국제사회에서 LED 조명의보급확대와함께백열전구퇴출이최대이슈로등장 351

360 LED 소자 형광등에는환경규제물질인수은이포함되어있어, 대체로세계각국이형광등을 2020 년까지퇴출 완료할목표로 LED 조명의저가격화를서두르는중 (2) 산업의구조 새로운수요를창출하고있는감성조명산업은인간친화적감성조명에의해행복하고안전한미 래도시개발을 LED- 감성 - 디지털제어기술융합으로추진하는신산업 LED 는모든색의표현이가능하고, 인간친화적이며차세대광원으로주목받고있는추세 LED 산업은후방산업인에피칩및패키징과전방산업인조명 / 융합제품으로구분됨. 후방산업 에속하는 LED 에피칩은기술난이도와초기투자비용이높고고부가가치를창출할수있는장 점이있으나, 자본이많지않은중소기업의진입장벽이높음. [ LED 중심의산업구조 ] 후방산업 LED 전방산업 조명기구산업조명제어산업기판제조산업 LED 제조장비산업 실내외조명, 디스플레이, 자동차조명등, 핸드폰등 조명산업홈네트워크산업애플리케이션산업 U-city 산업 시장지배력유지를위한핵심기술개발요구및 LED 응용분야확대로새로운수요시장이출현 LED 조명과통신이융합되어조명기능을유지하면서통신도동시에수행할수있는가시광통신개발중 가시광무선통신의경우, LED를사용하는곳에서는어디서나통신을할수있는장점을보유 LED 디스플레이의경우영상화면및음성의시청이외에부가통신정보를받을수있으며, 휴대폰인경우휴대폰간통신이가능하고, 휴대폰과조명, 휴대폰과디스플레이간통신이가능 352

361 전략제품현황분석 나. 경쟁환경 우리나라는 LED 광원강국으로, 국내 LED 에피칩제조기업 3 개사 ( 삼성전자 ( 주 ), 엘지이노텍 ( 주 ), 서울반도체 ( 주 )) 가세계 LED 광원기업 Top10 에포함됨. 한편, 세계 11~25 위기업에 대만 7 개사, 중국 6 개사로후발국가가무서운속도로추격중 글로벌조명시장 1 위업체인 Philips 는 High-Power LED 에경쟁력을가진 Lumileds 를매각하 고, 커넥티드 LED 조명시스템, 등기구및특수조명사업에집중 SiC 계반도체기판, 광소자, RF 및파워소자개발및생산전문업체인 Cree( 미국 ) 는수직형 LED 등 High-Power LED 기술을선도하고있으며, SiC 기판을사용한고출력청색, 청녹색, UV LED 양산, 고휘도 LED 양산과고방열수직형 LED 칩등의개발에주력 LED 소자분야주요해외업체로는 Nichia, Toyota Gosei( 일본 ), Osram( 독일 ), Cree( 미국 ), Epistar( 대만 ) 등이있으며, Nichia( 일본 ) 가독주하는가운데삼성전자 ( 주 ), Osram( 독일 ), 엘지이노텍 ( 주 ), 서울반도체 ( 주 ), Cree( 미국 ), Everlight, Epistar( 대만 ) 등이그뒤를잇고있는상황 세계 LED 소자시장점유율 1위인 Nichia( 일본 ) 은 YAG:Ce 형광체를포함한 4,000여개의 LED 관련특허를보유하고있으며, Osram, Toyota Gosei, Lumileds, Cree 등과크로스라이센스계약을통해패키지, 조명시장의취약점을보강하고있음. Nichia가개발한 YAG 계열형광체는전체형광체시장의약 70% 를차지하며, 현재까지도가장효율이뛰어난백색 LED 구현방법으로평가되고있으나, 2017년 YAG:Ce 형광체특허권이만료예정 Toyota Gosei( 일본 ) 은 Toyota 자동차의자회사로설립되어 Toyota 에고출력, 고휘도청색 LED 를공 급하고있으며, Nichia 와함께청색 LED, 백색 LED 기술개발을주도 삼성전자 ( 주 ) 는 2009 년삼성전자, 삼성전기합작사 ( 삼성 LED) 로설립된후, 2012 년삼성전자 ( 주 ) 로 합병되었으며, 중국톈진, 국내기흥사업장을바탕으로기판, 칩, 패키지, 모듈, 완제품까지수직계열 화를구축 LED 칩및패키지의경우, Nichia, Toyota Gosei, Osram, Lumileds, Cree 등빅 5 업체가전체시 장의 85% 를차지하여과점화가심함. 최근에는중국산저급 LED 칩이대거국내에유통되며 LED 조명의전반적인품질저하가우려되고있는상황 353

362 LED 소자 * 출처 : Frost & Sullivan(2015) [ Value Chain 단계별주요업체 ] 국내에피 / 칩분야는높은기술력과자본력을요하기때문에삼성전자 ( 주 ), 엘지이노텍 ( 주 ), 서울반도체 ( 주 ) 의국내 Big3 및일진엘이디 ( 주 ) 등대기업에집중 국내대기업은시장규모가가장큰청색 LED 생산에집중하고있으며, 소재, 부품, 장비생태계가잘구성되어있어국내기업이세계수준의경쟁력을확보 적색, 녹색 LED 분야는상대적으로취약하며, UV, IR 등비가시광 LED 또한매우취약함. 이에향후 LED 융합을통한신성장분야에서경쟁력을확보하기위해서적절한기능성을부여할수있는파장다변화가필수적 국내패키지분야는대부분칩제조사가겸하는구조로형성되어있고, 칩을아웃소싱해서패키징, 모듈만생산하는기업이일부포함 조명용패키지는시장확대에도불구하고중국발가격폭락의여파로수익성이개선되지않고있음에따라, 주요기업들은고출력, 고효율 LED 등고부가가치제품을통한차별화에주력중 활성층재료별비중을살펴보면, 현재 PC(Phosphor Converted) LED의광원으로사용되는 GaN 기반 LED 소자가전체시장의약 85% 를차지 2017년 Nichia의 YAG:Ce 형광체특허권이만료될예정으로, 추가적인시장확산이가능할것으로전망 354

363 전략제품현황분석 [ 제품분류별경쟁자 ] 구분 경쟁환경 분류 LED 광원소재및장비 LED 소자응용기기 주요품목 기판, 렌즈, 봉지재, 형광체, 금속와이어, 리드프레임, 히트싱크, 열전도소재, PCB 소재, 고열전도성접착소재등광원소재및부품, 형광체도포장비, 광학특성측정장비, 방열특성측정장비등광원공정장비 가시광 (RGB) LED, 적외선 (IR) LED, 자외선 (UV) LED, 백색 LED 소자, 고출력 LED, 고효율 LED, COB 패키지, SMD 패키지, 고방열패키지 형광등 / 백열등대체용조명, 다운라이트, 면발광, LED 가로등, LED 사이니지, 옥외용전광판등디스플레이용조명, 자동차전조등, 신호등 해외기업 Nichia, Toyota Gosei, Showa-Denko, Citizen, Cree, Lumileds, Osram, Epistar, Aixtron, Sumitomo, Intematix, Taiyo Nippon Sanso, Veeco Instruments Toyota Gosei, Epistar, Everlight, Cree, Osram, Philips, Lumileds, Future Lighting Solution, Nichia Philips, Osram, Cree, Color Kinetics, Neo-Neon, 마쓰시다전공, Cree, GE, Acuity, Panasonic 국내기업 동진쎄미켐, 코닝정밀소재, 에스에스엘엠, 엘지실트론, 주성엔지니어링, 대주전자재료, 네패스신소재, 한솔테크닉스, LG 화학, 사파이어테크놀로지 나노팩, 삼성전자, 엘지이노텍, 서울반도체, 서울바이오시스, 루멘스, 일진머티리얼즈, 일진반도체, 동부엘이디, 우리이티아이 삼성전자, LG 전자, 글로우원, 필룩스, 엘이디라이텍, 금호전기, 루멘스, 우리조명, 아이엠, 남영전구, 금호에이치티 355

364 LED 소자 다. 전후방산업환경 LED 소자는 LED 광원을중심으로광원생산에사용되는소재와장비공급업체와광원을이용 하여조명기기및조명시스템을생산하는업체들로공급망이형성 국내에서는중견기업들을중심으로칩생산과패키징공정을포함한광원부뿐만아니라조명 기기제작공정까지수직계열화를달성하여기술 가격경쟁력을높이고있음. LED 소자는반도체공정과패키징공정을통해제작되므로이에사용되는소재 부품과장비로후방산업이구성 사용되는부품과 MOCVD 등의장비는광원의성능에매우큰영향을미칠뿐만아니라광원의공급물량에도많은영향을미침 후방산업에는많은국내중소기업들이참여하고있지만, 중요한부품및장비는해외기업에대한의존도가매우높아이에대한국산화노력이절실히요구 [ LED 소자중심의산업구조 ] 후방산업 LED 소자전방산업 기판, 봉지재, 형광체, 렌즈, 금속와이어, 리드프레임, Heat sink, 열전도소재, PCB 소재, 고열전도성접착소재등광원소재및부품, 형광체도포장비, 광학특성측정장비, 방열특성측정장비등광원공정장비 가시광 (RGB) LED, 적외선 (IR) LED, 자외선 (UV) LED, 백색 LED 소자, 고출력 LED, 고효율 LED, COB 패키지, SMD 패키지, 고방열패키지 LED 일반조명모듈및엔진, 형광등 / 백열등대체용조명, 다운라이트, 면발광, LED 가로등, 플래시램프등, LED 일반조명제어시스템, LCD BLU, LED 사이니지, 옥외용전광판등디스플레이용조명, 자동차전조등, 신호등, 의료기기용조명, 농업용조명, 살균용 UV 조명, 방폭등, 터널등, 무대조명등 356

365 전략제품현황분석 3. 시장환경분석 가. 세계시장 각국정부주도로 LED 조명보급이확대되고있으며, 2012년백열전구퇴출과더불어 LED 조명보급은세계각국정부의에너지효율화정책과 LED 조명가격하락이맞물려급물살을타고있는상황 독일은 2022년까지원자력발전소를단계적으로폐쇄하기로결정하며에너지효율화정책의일환으로 LED 보급을추진중 미국은세계조명시장의 1/4를차지하고있으며, 다양한에너지효율관련규제와인센티브제도를운영중 중국은비주거용수요를중심으로 LED 보급이확대되고있으며, 정부의지원효과와실외용조명의 LED 조명적용이확산되고있는추세 일본은 2011년대지진이후전력수급우려가높아진가운데, 공격적인에너지효율화정책주도에힘입어 LED 보급률이증가하고있는추이 2015 년 LED 세계시장은 1 조달러로추산되며, LED 조명시장의성장과동반하여연평균 22.35% 의성장을보이며, 2020 년 2 조 7,416 만달러의시장을형성할것으로전망 [ LED 소자분야의세계시장규모및전망 ] 구분 ( 단위 : 백만달러, %) 성장률 ( 13~ 15) 세계시장 1,000,000 1,223,496 1,496,942 1,831,502 2,240,824 2,741, * 자료 : Market for Power Semiconductors(2014, Frost & Sullivan), Global Power Semiconductor market(2013, Yano Research), Global Markets and Technologies for Compound Semiconductors( , BCC Research), 정보통신산업진흥원 ( 한국반도체산업협회 ( 반도체보고서 ( , 신영증권 ) 등의자료를참고하여전망치추정 한편, LED 소자는전방시장인 LED 조명시장의성장세에따라동일한성장추세를가질것으 로전망되나, 향후 LED 소자분야의 Commodity 화가능성이있으며, OLED 등대체기술의발 전가능성이있음에따라연간성장률은지속적으로감소할가능성이존재 357

366 LED 소자 나. 국내시장 국내 LED 산업은 2000년대핸드폰과 LCD TV 등의내수수요에힘입어소재, 공정, 광원, 조명전분야에걸쳐꾸준히발전해온가운데특히, 조명용 LED 기술의발전과함께경기침체에따른에너지절약움직임과녹색성장을추구하는정부의의지를반영하여, 최근국내 LED 조명시장은급격히확대추이 지속적인가격하락과품질개선, 소비자의인식전환등민수시장과실외조명시장의활성화가두드러지며, 국내 LED 조명시장은본격적인성장국면에돌입 국내 LED 조명시장을둘러싸고국내에진출한해외다국적기업을비롯하여국내대기업, 그리고수백개에이르는국내중소기업들간에국내 LED 조명시장을선점하기위해서치열한각축전을진행중 2015년 LED 국내시장규모는 15조 7천억원가량을기록한것으로추산되는가운데국가의신성장동력사업으로, LED 산업에대한정부의지원정책과함께연평균 31.4% 로높은성장세를나타내며, 2020년에는 61조 4,189억원의시장을형성할것으로전망 LED 관련 2060정책 (2020년까지 LED 조명보급률 60% 달성 ), 2014년백열등생산 / 수입중단등정부의적극적인정책등에따라지속적으로성장할것으로전망 [ LED 소자분야의국내시장규모및전망 ] 구분 ( 단위 : 억원, %) 성장률 ( 13~ 15) 국내시장 157, , , , , , * 자료 : Market for Power Semiconductors(2014, Frost & Sullivan), Global Power Semiconductor market(2013, Yano Research), Global Markets and Technologies for Compound Semiconductors( , BCC Research), 정보통신산업진흥원 ( 한국반도체산업협회 ( 반도체보고서 ( , 신영증권 ) 등의자료를참고하여전망치추정 358

367 전략제품현황분석 다. 무역현황 LED 소자의단일품목단위의무역현황을분석하는데한계가있어수출품목중감광성반도 체디바이스및발광다이오드의무역현황을살펴보았으며수출량과수입량감소폭은비슷한추 세 LED 소자의수출현황은 11년 38억 8,433만달러에서 15년 36억 3,318만달러수준으로감소하였고, 수입현황도 11년 28억 2,282만달러에서 15년 26억 4,971만달러수준으로감소하며, 무역수지흑자기조유지 최근 5년 ( 11~ 15년) 간연평균성장률을살펴보면수출금액은 1.66% 로감소하였으며, 수입금액은 1.57% 로감소하여전체무역수지는 -1.89% 로감소한것으로나타남 무역특화지수는 11 년 (0.16) 부터 15 년 (0.16) 까지큰변동이없는바, 국내기업의수출량은 정체상태인것으로분석 [ LED 소자관련무역현황 ] 구분 ( 단위 : 천달러, %) CAGR ('11~'15) 수출금액 3,884,331 3,878,801 3,790,646 3,419,654 3,633, 수입금액 2,822,817 3,031,320 3,301,972 2,892,161 2,649, 무역수지 1,061, , , , ,466 - 무역특화지수 * * 무역특화지수 = ( 상품의총수출액 - 총수입액 )/( 총수출액 + 총수입액 ) 으로산출되며, 지수가 0 인경우비교우위는중간정도이며, 1 이면완전수출특화상태를말함. 지수가 -1 이면완전수입특화상태로수출물량이전혀없을뿐만아니라수입만한다는뜻 * 자료 : 관세청수출입무역통계 HS-Code(6 자리기준 ) 활용 * HS 코드 :

368 LED 소자 4. 기술환경분석 가. 기술개발트렌드 전세계적으로 LED 및응용분야의수요가증가 LED란발광다이오드라고도하며반도체소자의특성을이용하여전기에너지를빛에너지로전환하는기술을의미하며, 디스플레이, 수송조명, 농생명조명, 의료조명, 해양수산조명등의융복합기술을활용한특수조명등을포함 LED는범국가적인관심과정책적지원을받고있는녹색성장을견인하는신성장동력산업 LED산업은협의로는 LED광소자, LED조명응용, LED광기술융합 ( 시스템조명 ), LED광소자 LED모듈ㆍ융ㆍ복합제품, LED조명등을포함하는산업이며, 넓은의미로는 LED의응용을통해파생되는모든종류의 LED응용제품산업을구성 [ LED 산업의범위및분류 ] LED(light emitting diode) n형반도체와 p형반도체가서로접합된구조를갖는광 / 전기변환형의반도체소자로서, 전기에너지를원하는영역의파장대역을갖는빛에너지로변환시키는소자 LED 소자는광변환효율이높기때문에에너지소비량이매우적으며광원의크기가작기때문에소형화, 박형화, 경량화가가능 또한, 수명이반영구적이며열적, 방전적발광이아니기때문에여열시간이필요없고, 속도가매우빠름 점등 / 소등 가스, 필라멘트가없기때문에충격에강하고안전하며형광등에사용하는수은 (Hg) 을사용하지않아환경친화적 점광원으로다양한형태의집적화와정교한디자인이가능 360

369 전략제품현황분석 반면, 기존광원에비해현재까지는상대적으로고가이며, 온도상승시허용전류와광출력이감 소하고, 연색성에일부문제를보유 자료 : 지능형 LED 조명과의연계를통한 Green IT-LED Display 문화공간연출및관련분야신규발굴및확대 [ LED 칩의기본구조 ] LED 제작에사용되는발광재료에따라 Organic( 유기 ) LED, Inorganic( 무기 ) LED, Quantum dot( 양자점 ) LED 등으로구분 산업용으로는 Inorganic LED가주로이용되는데방출하는빛의종류에따라서는가시광선 LED, 적외선 LED, 자외선 LED로구분 가시광선 LED는전체 LED 시장의 90~95% 를차지하고있으며적색, 녹색, 청색, 백색 LED 등존재 적외선 LED는리모콘, 적외선통신 (IrDA) 등에사용되고있으며, 시장규모는전체 LED 시장의 5% 수준 자외선 LED는살균, 피부치료등생물 / 보건분야에사용되고있으며, 시장규모는 2% 미만. 적색 LED의경우 AlGaAs, GaAsP가주로사용되어왔는데, 최근에는 InGaAlP와같은 4원계조성의화합물반도체박막성장기술발달에따라백열전구보다높은조명효율을확보 녹색 LED는 GaP 중심으로구현되어왔으나간접천이형반도체이기때문에발광효율을비약적으로향상시키기는어려웠으나추후에 InGaN의박막성장이성공하게됨에따라고휘도녹색 LED 의구현이가능 청색 LED는가장실현하기어려웠던색으로처음에는 SiC, ZnSe 등으로연구가이루어졌으나, 사파이어기판위에 InGaN의박막성장이가능함에따라고휘도청색및녹색 LED의출현이가능 GaN은 In의조성비에따라적색에서 near UV까지발광할수있다는장점이있으나, 기판과의격자부정합으로인한결함밀도를해결하는것이주요이슈 361

370 LED 소자 LED 기술은 LED 광소자 ( 에피, 칩, 패키지 ), LED조명, LED 응용, 기타시장 ( 부품소재, 장비 ) 등으로구분 LED 조명은 LED 광소자를이용하여인간을직접적인대상으로하는조명제품을제조하는기술 LED 응용은 LED 광소자를이용하여인간을간접적으로대상으로하는공산품성격의조명제품을제조하는시장 휴대전화용 BLU 모듈, LCD BLU용 LED 모듈, 디스플레이용 LED 모듈, 냉장고등을비롯한정보전자가전제품용 LED 모듈, 자동차조명용 LED 모듈, 수산업용집어등, 양식등, 도로, 공항, 해양용항로표지등, 의료용병실, 수술, 진단용조명기기, 환경용소독, 살균기기및 UV 경화기기, 농축산업용생육촉진 / 억제용조명기기, 정보통신용가시광통신기기, 군사용조명기기등이있다. 기타시장으로부품소재, 장비등이존재 LED 조명은 LED를이용한조명기구 (LED 모듈과방열및광학기구로구성 ) 및시스템 ( 전원장치, 구동회로, S/W 및시스템제어 ) 을통칭하다. 응용분야에따라서일반조명과더불어디스플레이, 수송조명, 농산물, 의료기기, 해양수산과같은 LED-IT/NT/BT 융 복합기술을활용한특수조명으로분류 조명용고휘도 LED는고발광효율및장수명을특징으로하는기술로서, 광전환효율이최고 90% 에이르고수명은최대 10만시간에이르는등장점을갖고있으나, 넓은영역을비추기위한확산판이필요하다는것과많은열이발생하여방열설계를하지않으면수명과효율이크게짧아지는문제, 기존조명에비해높은가격을형성하고있는문제등이있다. 또한직류전원을사용하기때문에일반적인사용환경에서는교류 / 직류변환장치가별도로필요한문제점등해결해야할사항존재 LED 제조과정은부품 / 재료제조공정, 에피 (Epitaxy)/ 칩공정, 패키징공정으로구분되며, 각단계별로다른성격의기술이필요하기때문에 LED 제조업체들은각분야별로특화된기술을보유 그러나 LED 산업내경쟁력확보와기술유출의억제를위해글로벌선두기업들은전체공정을일괄처리하는추세 LED 산업의세부기술은기판, 에피성장, 칩공정기술등소자단계에서의기술과, 패키징기술, 고장분석기술, 고속측정및양산공정장비기술, 고효율형광체기술, 광원모듈설계및제조기술, 시스템제조및스마트제어기술, 신뢰성평가기술, 제품표준및측정법등이이슈 LED와관련된기술이슈는청색및녹색 LED에서는사파이어기판과 GaN 에피와의격자부정합, 열팽창계수차이, 낮은열팽창계수및열전전도등으로인해나타나는부정적인영향을극소화하기위한방법등이존재 \ 이를위해 GaN homo epi가바람직하므로다양한방법으로 GaN 기판제조기술개발이진행되고있으나아직 GaN 단결정성장이어려워고가에머물고있는단점을보유 칩공정의혁신의일환으로한장의웨이퍼로부터다량의칩을얻기위하여사파이어기판의대구경화가중요하며, 현재실험용으로는 4인치사파이어가연구되고있으나궁극적으로는 6~8인치사파이어의사용이전망됨 362

371 전략제품현황분석 또한광추출효율향상을위해다양한패턴기판이개발되고있으며, 패턴디자인에의한광추출효율향상연구가진행중 사파이어이외의기판으로는 GaN templete, SiC 등의기판이사용되고있으나이들을대체할신물질단결정연구는부족하여 hexagonal 구조, 적은격자부정합, 높은열및전기전도도, 유사한정도의열팽창계수를갖는단결정신물질의탐색연구가필요 에피성장기술에서는내부양자효율의향상, 광추출효율의향상이고성능 LED 광소자제조를위한필수불가결 내부양자효율과광추출효율의향상을통해 droop 현상도극소화할수있다. 2파장 LED 제조기술등다양한에피구조설계, 플라즈마 LED, 광자결정, 파장변환밴드갭엔지니어링등다양한기술이연구되고있다. 기판대형화에따른양질의대구경에피성장연구, 양산을위한공정시간단축등도중요한이슈로대두 공정기술에있어서는단위공정기술이각공정장비별로최적화되어야하고, 양산성있는공정과칩구조의확보도중요한이슈이다. 열특성이좋은 LED 제조를위해서는수직구조 LED, 웨이퍼레벨패키지 (WLP), 칩스케일패키지 (CSP) 기술이이슈로부각 에피구조내에나노구조를삽입하거나, 칩나노공정의도입도이슈가되고있다. 에피및칩구조설계를통하여교류구동 LED, 고효율마이크로칩 LED, Nano LED 기술개발도필요 LED 소자는각국가의친환경정책추진으로더욱발전이가속화될전망 LED에대한기술개발은발광성능및신뢰도향상과저가격화의방향으로추진 이를위해 LED chip의구조, 재료, 제조공법, 패키징및구동회로등의분야에서기술개발이진행되고있는데 LED는 10년마다성능은 20배씩향상되고, 가격은 1/10로하락한다는하이츠의법칙 (Haitz s Law) 에따라서급속한기술발전진행 자료 : Global SMT & Packaging 2011 [ 하이츠의법칙 ] LED Major 업체들이시장접근성확대및시장선점, 모든단계에서의특허확보, LED 조명시 스템제공능력확보등에중점을두고웨이퍼, 칩, 패키지등일괄생산체제를구축하고있는데, 363

372 LED 소자 소자업체와협력체제구축등을통해전공정수직계열화를완성 이러한수직계열화를통한저가격화정책과 2012년부터본격적으로추진중인백열등규제정책은 LED 조명시장성장을견인할것으로예상 [ 해외주요 LED 업체의수직통합구조 ] 모기업에피, 칩컨트롤기구 오스람 Osram Osram LED Systems Osram Sylvania GE Partership with Nichia GE Lumination GE Lumination 필립스 Lumileds Genlyte Color Kinetic 크리 Cree Cotco Clee Led lighting Cree LED Lighing 364

373 전략제품현황분석 나. 주요업체별기술개발동향 (1) 해외업체동향 LED 소자해외주요업체로는 Cree, Philps, GE, Nichia 등이존재 Nichia는 LED 시장을개척하고형성시킨기업으로세계최고의기술력을보유하고있으며, 최근교통신호등, LCD BLU를생산하며풀컬러디스플레이에주력 200개의자국특허및세계 10% 가넘는 GaN계특허를보유하고있고세계최대 GaN Base LED 제조업체이며, 1996년최초 white LED를개발 / 생산하였으며, 40년이상형광체를제조및판매 특허보유로세계의다른업체들을상대로특허분쟁을시작했으나, 더넓은시장및패키지와같은취약부분의시장선점을위해오스람, 도요다고세이, 루미레즈, 크리와크로스라이선스를체결 크리 (Cree) 는 1987년설립되었으며, SiC계반도체기판, 광소자, RF 및파워소자의개발생산및판매부문에서세계적인기업 SiC 기판을사용한고출력청색, 청녹색, UV LED를양산. 독일의오스람과서울반도체에청색 LED를공급하고있으며, 패키지기술을발전시켜고휘도 LED 양산에주력 UCSB와조명용 LED의기술개발협력을진행하고있다. 2010년고방열수직형 LED 칩최고성능을발표하였고, 다양한제품군을확보 오스람 (Osram Opto Semiconductor) 는 Siemens 와 Osram 의합작회사로자동차인테리어용 LED 의선두업체 청색, 적색 LED 까지다양한용도의 LED 를보유하고있으며, 박막형 GaN 구조 LED 를상용화 365

374 LED 소자 * 자료 : Osram 社 [ 기존오스람수직형소자 ( 왼쪽 ) 와 UX:3 기술의 ThinGaN 소자 ( 오른쪽 ) 의광분포패턴형상 ] 유럽에서만들어지는지시등의백라이트, 후미등에오스람 LED 램프가많이사용되고있으며국내몇몇 LED 업체에형광체를제공 2011년 2월에독일의조명기업인시테코 (Siteco Lighting GmbH) 인수를통해조명솔루션사업으로영역을확장중이며, 3월초에는독일및말레이시아생산라인을 4인치웨이퍼공정에서 6인치웨이퍼공정으로전환해고성능 LED 칩생산능력을강화 필립스 (Philips) 는적색 LED 및고출력패키지의선두기업으로서, 대형칩적용고출력제품인자동차, 조명분야에주력 하지만최근엔정부주도로진행돼온 B2B 조명시장을일반소비자조명으로확산 Agilent Technologies 社와 LED 제품공동개발을추진하고있으며, 일본에서 LED 칩제품을판매하기위해 Marubeni와제휴했다. LED 조명관련기업 M&A를통해규모를키우며조명관련사업을더욱세분화하고전문화하기위해 2005년이후 Lumileds, TIR systems, Color Kinetics 등 11개기업을인수 LED소자에서모듈, 등기구, 조명솔루션에이르는 LED 관련다양한서비스와솔루션을제공하고, 한국에서는필립스가자사 LED 칩으로국내기업이모듈과조명완제품을생산하고필립스브랜드로판매하고있으며, Bulb, L-Tube, MR, PAR, Downlight 등과같은다양한제품군을형성 도요다고세이 (Toyoda Gosei) 는 1994년도요다자동차에의해설립되었으며, 고출력, 고휘도청색 LED를도요다자동차에판매 니치아와더불어청색 LED 및백색 LED 기술개발을주도했으며, 니치아와의특허분쟁끝에 2002년 9월크로스라이센스를체결 Arima Optoelectronics와도전략적제휴를체결하였으며, 서울반도체, 알티전자등에청색 LED 를제공 366

375 전략제품현황분석 유럽일반조명시장진출을위해조명업체인 Tridonic Atco 와 2006 년합작사를설립했다. 최근 실적부진에따라 chip 중심으로개발 / 판매에집중 (2) 국내업체동향 LED 소자국내주요업체로는삼성 LED, LG 전자, LG 이노텍, 금호전기, 유양디앤유, 화우테 크, 알토 / 알텍, 서울반도체등이존재 삼성LED는 2009년삼성전자와삼성전기가지분을각각 50% 씩을출자하여설립하였으며, 2012년 4월삼성전자와합병 BLU에서축적한광기술을응용하여조명분야에본격진출하고있고, 국내반도체생산라인을 LED 조명으로변환중에있으며국내, 유럽, 일본시장을중심으로판매중 반구형의 PSS(patterned sapphire substrate) 를이용한광추출증가기술개발에집중하고있으며삼성 LED가일본스미토모화학과 LED 핵심부품인사파이어웨이퍼를생산하기위해공동설립한 SSLM(Samsung Sumitomo LED Materials) 은 2015년까지총 5000억원을투자하여핵심부품과장비의국산화를통해 1조 5천억원가량의수입대체효과를거둘것으로예상 서울반도체는 2009년 2월니치아와 LED 및레이저다이오드기술을총망라하는크로스라이선스계약을체결하고, 2008년 11월유럽 TOE와실리케이트계열형광체사용에관란크로스라이선스를체결 유럽연합위원회 (EC) 가발족한 MONA에서선정한 SSL 분야 5대업체에선정되었고, LED전문매체인 LEDs Magazine 이신제품 P7, 2008년세계 LED 업계 10대뉴스에서 1위로선정 아크리치 는교류 / 직류변환과정없이교류전원에서직접구동되는제품으로 AC로구동하는 LED 개발에집중하고있으며 Z파워 LED 시리즈 P4는 350mA에서 120lm 방출하는세계최고급광효율을보유 2009년 5월 120 lm/w 급조명용고효율 SMD 타입 LED 제품을출시 LG이노텍는효율성이높은수직형 LED 상용화에경쟁사보다앞서나가고있고, 특히 WLP에의한수직형 LED 개발에집중 LED용에피웨이퍼개발에서소자생산, 패키지, 모듈에이르는전공정의생산라인을구축하였으며, 경기도파주, 곤지암리조트에 LED 보안등과실내등설치, 서울상암동 DMC 가로등설치등의실적보유 367

376 LED 소자 다. 기술인프라현황 중소기업청에서는대학및연구기관이보유한첨단연구장비를공동활용할수있도록지원하는연구장비공동활용지원사업을운영 중소기업청산하중소기업기술정보진흥원을통해대학및연구기관이보유한연구장비를소프트웨어의중소기업공동활용을지원하여국가장비활용도제고와중소기업기술경쟁력향상을도모 지원내용은 R&D장비이용료에대해온라인바우처방식으로중소기업당 3,000만원 ~ 5,000만원내에서연구장비사용료를지원하며, 창업기업은최대 70%, 일반기업은최대 60% 를지원 * 자료 : 중소기업기술정보진흥원 [ 연구장비공동활용지원절차 ] 한국생산기술연구원에서는중소기업의기술개발지원을위하여뿌리산업기술연구소에서개발형 실험실을제공하고있어중소기업에서기술개발에필요한실험장비등을공동으로사용할수 있는인프라를제공 368

377 전략제품현황분석 한국생산기술연구원은 890여종의장비를 42개개방형실험실을통해공개하고중소 중견기업이시험, 검사, 시제품제작등목적에맞게기업이활용할수있도록 24시간개방 운영 수요기업이필요로하는장비및공동 공용실험실을권역별개방형실험실현황에서검색및확인하시고실험실운영담당자와사용가능여부확인후내원하여이용 한국생산기술연구원은지역별뿌리산업기술센터를운영하고있으며이를통해뿌리기업의애로사항을지원하기위해시제품개발 제작, 제조공정고도기술지원등문제해결형현장밀착지원을수행하며효율적인지원체계운영 시흥 / 진주 / 김제 / 광주 / 고령 / 부산 / 울산 / 원주 / 순천 / 대구등 10개지역뿌리기술지원센터에지역산업과연계한기반을구축하여문제해결형현장밀착지원추진과상시기술지원체계마련 지역별특화분야를선정하여지역특허산업을육성하며예를들어시흥은열처리, 표면처리, 진주는항공부품, 초정밀성형가공, 김제는특수주조등을지원 * 자료 : 한국생산기술연구원지역뿌리기술사업단 [ 지역뿌리기술센터위치및특화분야 ] 한국과학기술연구원에서는특성나노연구지원을위하여특성분석센터에서보유하고있는장비, 전문인력, 신뢰성평가기술등의인프라를활용하여나노관련연구를수행하는과정에서필수적인분석, 새로운분석기술을제공및특성분석평가기술교육을수행 한국과학기술연구원특성분석센터에서는첨단분석장비를이용하여유기 무기화학분석, 초미세표면분석, 나노구조분석및프로티움분석과관련된원내외분석을지원 369

378 LED 소자 또한, 분석기술전반에대한축적된기술을통해분석장비사용교육및연구장비엔지니어양성교 육을진행 * 자료 : 한국과학기술연구원 [ 한국과학기술연구원특성분석센터시험분석의뢰절차 ] 한국화학연구원에서는화학분석연구지원을위하여화학분석센터, 화학소재연구본부에서는첨단분석장비를활용한기초및응용연구분야의산학연분석지원및산학연연구자대상의개방운영하는범용분석장비에대한기기원리, 시료전처리, 결과해석등기기분석실무교육수행 화학분석센터에서는보유하고있는크로마토그레레피, NMR을이용한정량분석및 XRD, SEM 등을활용한물질구조분석수행 화학소재연구본부에서는마이크로파반응기, 다층막시스템, 표면에너지구배시스템, 다중-박막시피터등정보전자용화학소재및차세대전지용화학소재개발에필요한첨단장비를구비하여시험분석서비스를제공 * 자료 : 한국화학연구원 [ 한국화학연구원시험분석이용절차 ] 370

379 전략제품현황분석 라. 특허동향분석 (1) 연도별출원동향 LED 소자기술의지난 7년 ( 10~ 16) 간출원동향 39) 을살펴보면 11년을기점으로소폭감소추세를보이고있으나지속적으로 LED 소자관련기술개발활발 각국가별로살펴보면일본출원경향은 11년이후감소추세, 미국은증가-감소추세, 유럽은유지하는경향을보이고있으며, 한국은지속적으로감소추세 국가별출원비중을살펴보면일본이 42.6% 로최대출원국으로 LED 소자기술을리드하고있 는것으로나타났으며, 미국이 28.4%, 한국이 22.0% 로비슷한수준의출원비중을보이고있 으며유럽은 7.0% 순의출원비중을보이고있음 [ LED 소자분야연도별출원동향 ] 39) 특허출원후 1 년 6 개월이경과하여야공개되는특허제도의특성상실제출원이이루어졌으나아직공개되지않은미공개데이터가존재하여 2015, 2016 년데이터가적게나타나는것에대하여유의해야함 371

380 LED 소자 (2) 국가별출원현황 한국의출원현황을살펴보면 11년이후출원이소폭감소하는경향을보이고있으며, 외국인의출원이점차감소 외국인의출원감소현상이두드러지는이유를살펴보면 LED 소자기술의국내시장에대한외국인의선호도가감소하고있는것으로추정 일본의출원현황은 12 년을기점으로증가추세에서감소추세로변화하였으며, 출원인대부분이 자국출원으로일본내의기술력이우수한것으로추정 미국과유럽의출원현황은지속적으로유지되고있는추세를보이고있으며, 출원인대부분이 외국인으로미국과유럽은자국인의출원이미미 [ 국가별출원현황 ] 372

381 전략제품현황분석 (3) 투입기술및융합성분석 LED 소자분야의투입기술을확인하기위하여특허분류코드인 IPC Code 40) 를통하여살펴본결과 LED 소자분야의가장높은 IPC는 H01L 기술분야가 8614건으로가장많이차지하고있으며, 이어서 H05B가 298건, H01S가 241건으로다수를차지 이외에 F21V 184건, C09K 172건, G02B 87건, F21S 86건, C08G 56건, H01J 55건, C07D 55건순으로기술이투입되어있어 LED 소자분야에다양한기술이융합되어존재 더불어해당 IPC의특허인용수명 6년으로가장짧은것으로분석 [ LED 소자분야상위투입기술 ] IPC 기술내용특허인용수명 (TCT) 41) H01L 반도체장치 ; 다른곳에속하지않는전기적고체장치 6 년 H05B 전기가열 ; 달리분류되지않는전기조명 8 년 H01S 유도방출을이용한장치 6 년 F21V 조명장치또는그시스템의기능적특징또는그세부. 달리분류되지않는, 다른물체와조명장치의구조적결합 6 년 C09K 그밖에분류되지않는응용되는물질 ; 그밖에분류되지않는물질의응용 7 년 G02B 광학요소광학계또는광학장치 6 년 F21S 비휴대용조명장치또는그시스템 8 년 C08G 탄소 - 탄소불포화결합만이관여하는반응이외의반응으로얻는고분자 화합물 8 년 H01J 전자관또는방전램프 7 년 C07D 이종원자고리화합물 7 년 40) 전세계적으로통용되고있는국제특허분류 (IPC: International Patent Classification) 를통해특허정보기술분야에서공지기술을조사할수있으며, 기술및권리정보에용이하게접근가능 41) 특허인용수명지수는후방인용 (Backward Citation) 에기반한특허인용수명의평균, Q1, Q2( 중앙값 ), Q3 에대한통계값을제시함. 특히이와같이산출된 Q2 는 TCT(Technology Cycle Time, 기술순환주기또는기술수명주기 ) 라고부름 373

382 LED 소자 투입기술이가장많은 H01L 분야와융합이높게이루어진기술은 C09KC 분야로나타났으며, F21S, C23C 분야와도융합된기술의건수가높은것으로분석 이외에 H05B 분야와융합된기술은 C09K, B41J, C08L 분야와융합된기술이많은것으로나타났으며, H01S 분야와융합된기술은 G02F, H04B, B41J 기술로분석 [ LED 소자분야 IPC 기술및융합성 ] (4) 주요출원인분석 세계주요출원인을살펴보면주로일본의출원인이다수의특허를보유하고있는것으로나타났으며, 반도체및관련소재, 부품분야의출원인이대부분 주요일본출원인을살펴보면 TOSHIBA, NICHIA CHEM, STANLEY ELECTRIC, NICHIA, SHARP, TOYODA COSEI 등반도체및관련소재, 부품기업이다수출원을하고있는것으로나타났으며, 이들일본출원인은주로일본본국에출원건수가높은것으로나타남 한국출원인으로는엘지이노텍, 삼성전자, 사올바이오시스등반도체, 전자부품분야기업이상위출원인으로나타나 LED 소자관련기술을다수보유 374

383 전략제품현황분석 가장많은특허를보유하고있는엘지이노텍의 3 극패밀리수가 491 건으로다국적으로시장을 확보하며출원을하고있는것으로보이며, TOSHIBA 도 149 건으로다국적시장을확보 대만기업인 EPISTAR 가확보한특허의피인용지수가 2.91 로가장높게나타나기술의파급성 이높은원천기술을다수보유하고있는것으로분석됨 [ 주요출원인의출원현황 ] 주요출원인 국가 주요 IP시장국 ( 건수 %) 한국미국일본유럽 IP시장국종합 3극패밀리수 ( 건 ) 피인용지수 주력기술분야 엘지이노텍 한국 % 23% 10% 14% 한국 LED 소자패키징기술 TOSHIBA 일본 % 20% 74% 3% 일본 LED 소자구조기술 삼성전자 한국 % 38% 9% 2% 한국 LED 소자구조기술 NICHIA CHEM 일본 % 0% 97% 0% 일본 질화물 LED 소자구조기술 서울바이오시스 한국 % 29% 5% 1% 한국 LED 소자구조기술 STANLEY ELECTRIC 일본 % 11% 84% 3% 일본 LED 소자구조기술 EPISTAR 대만 % 99% 1% 0% 미국 LED 소자패키징기술 NICHIA 일본 % 78% 8% 14% 미국 LED 소자구조기술 SHARP 일본 % 21% 78% 0% 일본 LED 소자구조기술 TOYODA GOSEI 일본 189 0% 0% 100% 0% 일본 LED 소자구조기술 375

384 LED 소자 (5) 국내출원인동향 국내출원인동향을살펴보면대기업은엘지이노텍의출원건수가가장높게나타났으며, 중소기업에서는주식회사세미콘라이트의출원건수가높게나타남 대기업의주요출원인은삼성전자, 서울바이오시스, 일진엘이디, 엘지디스플레이, 서울반도체등이있으며, 중소기업의주요출원인은 더리즈, 주식회사에피밸리, 주식회사칩테크놀러지, 인텔렉추얼디스커버리주식회사, 루미마이크로주식회사등이주요출원인인것으로나타남 기업이외의주요출원인을살펴보면한국광기술원, 한국전자통신연구원, ( 재 ) 한국나노기술원, 전자부품연구원, 한국과학기술원등연구소 / 공공기관의출원이다수나타났으며, 대학은전북대 학교, 영남대학교, 포항공과대학교, 광주과학기술원등의출원이높은것으로분석됨 [ 국내주요출원인의출원현황 ] 376

385 전략제품현황분석 5. 중소기업환경 가. 중소기업경쟁력 LED 소자분야의중소기업경쟁력은 LED 광원소재및장비와 LED 소자분야에비해응용기기 분야가상대적으로높은것으로나타남 [ LED 소자분야중소기업현황 ] 분류주요제품대기업중소기업 중소기업 참여영역 중소기업 참여정도 LED 광원소재및장비 기판, 렌즈, 봉지재, 형광체, 금속와이어, 리드프레임, 히트싱크, 열전도소재, PCB 소재, 고열전도성접착소재등광원소재및부품, 형광체도포장비, 광학특성측정장비, 방열특성측정장비등광원공정장비 코닝정밀소재, 주성엔지니어링, 동진쎄미켐, 에스에스엘엠, 엘지실트론, 한솔테크닉스, LG 화학 대주전자재료, 네패스신소재, 사파이어테크놀로지 LED 기판용사파이어단결정및기판, LED 용형광체재료, LED 용에폭시수지, LED 용 CMC LED 소자 가시광 (RGB) LED, 적외선 (IR) LED, 자외선 (UV) LED, 백색 LED 소자, 고출력 LED, 고효율 LED, COB 패키지, SMD 패키지, 고방열패키지 삼성전자, 엘지이노텍, 서울반도체, 서울바이오시스, 루멘스, 일진머티리얼즈 일진반도체, 나노팩, 대진디엠피, 동부엘이디, 가시광 (RGB) LED, 적외선 (IR) LED, 자외선 (UV) LED, 백색 LED 소자, 고출력 LED, 고효율 LED, COB 패키지, SMD 패키지, 고방열패키지 응용기기 형광등 / 백열등대체용조명, 다운라이트, 면발광, LED 가로등, LED 사이니지, 옥외용전광판등디스플레이용조명, 자동차전조등, 신호등 삼성전자, LG 전자, 금호전기, 루멘스, 우리조명, 금호에이치티 필룩스, 엘이디라이텍, 남영전구 형광등 / 백열등대체용조명, 다운라이트, 면발광, LED 가로등, LED 사이니지, 옥외용전광판등디스플레이용조명, 자동차전조등, 신호등 * 중소기업참여정도와점유율은주요제품시장에참여하는중소기업의참여규모와정도 ( 업체수, 비율등 ) 를고려하여 5 단계로구분 ( 낮은단계 :, 중간단계 (,, ) 높은단계 : ) 377

386 LED 소자 나. 중소기업기술수요 LED 소자분야의중소기업의기술수요를파악하기위하여중소기업기술수요조사및중소기업청 R&D 신청과제 (2013~2015 년 ) 를분석한결과아래표의내용과같은수요들이다수있는것으로 분석 [ LED 소자분야과제신청현황및수요조사결과 ] 전략제품기술분류관심기술 에피성장기술 고내정전압에피성장기술고효율고인듐활성층성장기술 Efficiency Droop 개선에피기술 LED 소자 패키징기술 인쇄형 LED 패키지기술고점도봉지재인쇄기술대면적 Working Size 소자접합기술고효율, 고연색 LED Package 기술 Smart LED driver IC 와 Power MOSFET 일체형 package 기술 모듈및시스템 배선인쇄기술봉지재층수직배선연결기술다층투명절연층형성기술고정밀디스펜싱시스템저전류휘도특성개선기술 Current sckew 저감기술 378

387 전략제품현황분석 다. 중소기업핵심기술 (1) 데이터기반요소기술발굴 LED 소자기술의특허및논문데이터검색을통해도출된유효데이터를대상으로데이터마이닝기법 (Scientometrics 기법 ) 을통해클러스터링된키워드의연관성을바탕으로요소기술후보군을도출 LED 소자기술의특허및논문유효데이터를기반으로키워드클러스터링을통하여 12개의요소기술후보군을도출 제품별 dataset 구축 : LED 소자기술관련특허 / 논문데이터를추출하여노이즈제거후제품별 dataset 구축 1차클러스터링 : 키워드맵을통한고빈도키워드확인-빈도수 (tf-idf) 42) 가상위 30% 에해당하는키워드를대상으로 1차추출 2차클러스터링 : 1차클러스터링에서추출된고빈도키워드사이에서고연관도키워드를 2차추출 ( 고연관도기준은연관도수치 43) 가 2이상인클러스터로제한 ) 다음그림은키워드간연관네트워크를시각화한것으로, 각키워드를나타내는원과키워드간의연관도를나타내는직선으로구성 각키워드가특허와논문중어느데이터에서도출되었는지원의색으로구분하였으며, 키워드로도출된클러스터는황색음영으로표시 키워드를나타내는원은고빈도의키워드일수록원의크기가크게표현되며, 연관도를나타내는선은키워드사이의연관도수치가높을수록굵게표현 LED 소자기술전략제품의특허 논문유효데이터에대하여키워드클러스터링결과를기반으로요소기술도출 데이터기반의요소기술도출은키워드클러스터링을통해도출된요소기술에대하여전문가의검증및조정을통하여요소기술을도출 42) 빈도수 (tf-idf) : 각키워드가출현되는특허또는논문수를의미 43) 연관도수치 : 두개이상의키워드사이의특허또는논문수를의미 379

388 LED 소자 [ LED 소자기술분야키워드클러스터링 ] [ LED 소자기술분야주요키워드및관련문헌 ] No 주요키워드연관도수치관련특허 / 논문제목 클러스터 01 LED, GaN 6~9 1. GAN-BASED LED EPITAXIAL STRUCTURE AND PREPARATION METHOD THEREOF 2. EPITAXIAL TRANSPARENT CONDUCTIVE OXIDE ELECTRODES FOR GaN LEDS 클러스터 02 LED, sapphire 4~7 1. METHOD FOR PRODUCING SAPPHIRE SUBSTRATE USED IN LIGHT EMITTING DIODE 2. SAPPHIRE SUBSTRATE CONFIGURED TO FORM LIGHT EMITTING DIODE CHIP PROVIDING LIGHT IN MULTI-DIRECTIONS, LIGHT EMITTING DIODE CHIP, AND ILLUMINATION DEVICE 3. DOPED SAPPHIRE AS SUBSTRATE AND LIGHT CONVERTER FOR LIGHT EMITTING DIODE 클러스터 03 LED, epitaxial 6~8 1. LED epitaxial structure and fabrication method thereof 2. Epitaxial substrate, method of manufacturing the epitaxial substrate and light emitting diode having epitaxial substrate 380

389 전략제품현황분석 No 주요키워드연관도수치관련특허 / 논문제목 클러스터 04 LED, GaN epitaxial 4~7 1. GAN-BASED LED EPITAXIAL STRUCTURE AND PREPARATION METHOD THEREOF 2. EPITAXIAL TRANSPARENT CONDUCTIVE OXIDE ELECTRODES FOR GaN LEDS 클러스터 05 LED, ZnO 4~7 1. LIGHT EMITTING DIODE WITH ZnO EMITTER 2. Successive ionic layer adsorption and reaction process for depositing epitaxial ZnO on III-nitride-based light emitting diode and light emitting diode including epitaxial ZnO 클러스터 06 LED, ZnO epitaxial 5 1. Successive ionic layer adsorption and reaction process for depositing epitaxial ZnO on III-nitride-based light emitting diode and light emitting diode including epitaxial ZnO 2. SUCCESSIVE IONIC LAYER ADSORPTION AND REACTION PROCESS FOR DEPOSITING EPITAXIAL ZNO ON III-NITRIDE-BASED LIGHT EMITTING DIODE AND LIGHT EMITTING DIODE INCLUDING EPITAXIAL ZNO 클러스터 07 LED, Polymerizable composition 6~8 1. FAST CURING COSMETIC COMPOSITIONS FOR TACK FREE SURFACE PHOTOCURING OF RADICALLY POLYMERIZABLE RESINS WITH UV-LED 2. POLYMERIZABLE COMPOSITION SUITABLE FOR LED LIGHT SOURCE 클러스터 08 LED, lens 6~8 1. ENHANCED COLOR RENDERING LENS FOR WHITE LEDS 2. Side-emitting LED lens and backlight unit and display device having the same 클러스터 09 LED, wafer 4~7 1. White Light LED Filament Having Blue Light Emitting Units and a Strip-Shaped Fluorescent Wafer 2. Wafer-level light emitting diode package and method of fabricating the same 클러스터 10 LED, life 4~7 1. Method and device for determining life expectancy information of an LED module 2. Near unity power factor long life low cost LED lamp retrofit system and method 381

390 LED 소자 [ LED 소자분야데이터기반요소기술 ] No 요소기술명키워드 요소기술 01 Efficiency Droop 개선에피기술 efficiency droop, improved 요소기술 02 고내정전압에피성장기술 high electrostatic discharge, growth 요소기술 03 고효율고인듐활성층성장기술 high efficiency, indium 요소기술 04 인쇄형 LED 패키지기술 printing, LED package 요소기술 05 고점도봉지재인쇄기술 encap, printing 요소기술 06 대면적 Working Size 소자접합기술 large area, bonding 요소기술 07 배선인쇄기술 printed wiring 요소기술 08 봉지재층수직배선연결기술 encapsulation, vertical 요소기술 09 다층투명절연층형성기술 transparent, insulation layer 382

391 전략제품현황분석 (2) 요소기술도출 산업 시장분석, 기술 ( 특허 ) 분석, 전문가의견, 타부처로드맵, 중소기업기술수요를바탕으로로드맵기획을위하여요소기술도출 요소기술을대상으로전문가를통해기술의범위, 요소기술간중복성등을조정 검토하여최종요소기술명확정 [ LED 소자분야요소기술도출 ] 분류요소기술출처 Efficiency Droop 개선에피기술 특허 / 논문클러스터링, 전문가추천, 기술수요 에피성장기술 고내정전압에피성장기술 특허 / 논문클러스터링, 전문가추천, 기술수요 고효율고인듐활성층성장기술 특허 / 논문클러스터링, 기술수요 인쇄형 LED 패키지기술 특허 / 논문클러스터링, 기술수요, 패키징기술 고점도봉지재인쇄기술 특허 / 논문클러스터링, 전문가추천, 기술수요 대면적 Working Size 소자접합기술 특허 / 논문클러스터링, 전문가추천, 기술수요 배선인쇄기술 특허 / 논문클러스터링, 전문가추천, 기술수요 모듈 / 시스템기술 봉지재층수직배선연결기술 특허 / 논문클러스터링, 기술수요 다층투명절연층형성기술 특허 / 논문클러스터링, 전문가추천, 기술수요 383

392 LED 소자 (3) 핵심기술선정 확정된요소기술을대상으로산 학 연전문가로구성된핵심기술선정위원회를통하여중소기업에적합한핵심기술선정 핵심기술선정은기술개발시급성 (10), 기술개발파급성 (10), 단기개발가능성 (10), 중소기업적합성 (10) 을고려하여평가 [ LED 소자분야핵심기술 ] 분류핵심기술개요 Efficiency Droop 개선에피기술 가장효율이좋은특정전류값관련기술, 효율이저하되기시작하는문제점 (Efficiency Droop) 해결을위한기술 에피성장기술 고내정전압에피성장기술 정전압의크기에따른동작민감도개선기술, 동작신뢰도를향상을위한고정전압내성증가기술등고내정전압에피성장기술 고점도봉지재인쇄기술 봉지재를잉크젯또는디스펜서로분사하여 LED 소자에도포하는기술 패키징기술 대면적 Working Size 소자접합기술 대면적작업영역안에서다수개의칩들을동시에접합시킬수있도록 Multi-chip Mounter 등다수개의헤더를동시에운용제어하는기술 모듈 / 시스템기술 배선인쇄기술 다층투명절연층형성기술 고해상도 LED 디스플레이모듈을위해연성기판을중점적으로회로및방열배선을인쇄공법으로형성하는기술 봉지재위에형성된배선을보호하기위해투명절연막을형성하는기술 384

393 전략제품현황분석 6. 기술로드맵기획 가. LED 소자기술로드맵 385

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31

목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 반도체산업이경기지역경제에 미치는영향및정책적시사점 한국은행경기본부 목 차 < 요약 > Ⅰ. 검토배경 1 Ⅱ. 반도체산업이경기지역경제에서차지하는위상 2 Ⅲ. 반도체산업이경기지역경제에미치는영향 7 Ⅳ. 최근반도체산업의여건변화 15 Ⅴ. 정책적시사점 26 < 참고 1> 반도체산업개관 30 < 참고 2> 반도체산업현황 31 i / ⅶ ii / ⅶ iii / ⅶ iv

More information

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378>

<C1A1C1A2C2F8C1A6BDC3C0E55F E786C7378> 점접착제 1-No. 20150900 Multi Client Report 점 접착제시장분석및전망 (2015) Sep., 2015 화학경제연구원 CHEMICAL MARKET RESEARCH INC. #1204, JnK Digital Tower, 111 Digital 26th, Guro-gu, Seoul 152-050, Korea TEL : +822-6124-6660

More information

2010 산업원천기술로드맵요약보고서 - 화학공정소재

2010 산업원천기술로드맵요약보고서 - 화학공정소재 2010 산업원천기술로드맵요약보고서 - 화학공정소재 - 2010. 7 본요약보고서는한국산업기술진흥원주관으 로수립되고있는 2010 년도산업원천기술로 드맵의일부내용을발췌한것입니다. 산업원천기술로드맵전체내용을담은 2010 산업원천기술로드맵보고서 는오는 8월한국산업기술진흥원홈페이지 (www.kiat.or.kr) 를통해공개될예정입니다. 목 차 Ⅰ. 화학공정소재산업의정의및범위

More information

신성장동력업종및품목분류 ( 안 )

신성장동력업종및품목분류 ( 안 ) 신성장동력업종및품목분류 ( 안 ) 2009. 12. 일러두기 - 2 - 목 차 < 녹색기술산업 > 23 42-3 - 목 차 45 52 < 첨단융합산업 > 66 73 80-4 - 목 차 85 96 115 < 고부가서비스산업 > 120 124 127 129 135-5 - 녹색기술산업 - 6 - 1. 신재생에너지 1-1) 태양전지 1-2) 연료전지 1-3) 해양바이오

More information

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시

목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 목재제품의종류 국내목재산업현황 목재산업트렌드분석및미래시 목재미래기업발굴및육성을위한 중장기사업방향제안 2017. 11. 목 차 Ⅰ. 사업개요 5 1. 사업배경및목적 5 2. 사업내용 8 Ⅱ. 국내목재산업트렌드분석및미래시장예측 9 1. 국내외산업동향 9 2. 국내목재산업트렌드분석및미래시장예측 16 2.1. 목재제품의종류 16 2.2. 국내목재산업현황 19 2.3. 목재산업트렌드분석및미래시장예측 33 Ⅲ. 목재미래기업의정의및분류

More information

태양광산업 경쟁력조사.hwp

태양광산업 경쟁력조사.hwp 태양광산업산업경쟁력조사 1 Ⅰ. 1. 52 2. 53 Ⅱ. 1. 54 2. 60 3. 64 III. 1. 71 2. 82 Ⅳ. 1. 98 2. 121 3. 132 Ⅴ. 1. 147 2. 160 3. 169 4. SWOT 181 Ⅵ. 1. 187 2. 202 3. 217 Ⅶ. 225 < 요약 > Ⅰ. 서론 II. 태양광산업의개요 III. 태양광기술개발현황

More information

( 수출현황 ) 품목별실적및특징 반도체 수요 서버및스마트폰등 증가지속등 으로 개월연속 억불대기록및 개월연속수출증가세 디스플레이 액정표시장치 패널경쟁심화속에서 유기발광다이오드 패널수요확대에힘입어 개월만에증가전환 휴대폰 프리미엄스마트폰수출호조등으로 개월 연속수출증가 컴퓨터

( 수출현황 ) 품목별실적및특징 반도체 수요 서버및스마트폰등 증가지속등 으로 개월연속 억불대기록및 개월연속수출증가세 디스플레이 액정표시장치 패널경쟁심화속에서 유기발광다이오드 패널수요확대에힘입어 개월만에증가전환 휴대폰 프리미엄스마트폰수출호조등으로 개월 연속수출증가 컴퓨터 보도자료 http://www.motie.go.kr 2018년 8월 17일 ( 금 ) 조간부터보도하여주시기바랍니다. ( 인터넷, 방송, 통신은 8.16.( 목 ) 오전 11시이후보도가능 ) 산업통상자원부전자전기과배포일시 2018. 8. 16.( 목 ) 담당부서산업통상자원부전자부품과 담당과장 이진광과장 (044-203-4340) 박영삼과장 (044-203-4270)

More information

Microsoft Word _Type2_산업_화학.doc

Microsoft Word _Type2_산업_화학.doc 2011 년 10 월 6 일산업분석 3Q 실적 Preview: 전반적인실적감소 화학 Overweight ( 유지 ) 석유화학, 정유 Analyst 백영찬 02-2003-2968 yc.baek@hdsrc.com 석유화학 : 3분기실적은직전분기대비감소 RA 김동건 02-2003-2907 dongkun.kim@hdsrc.com 리서치센터트위터 @QnA_Research

More information

< B9B0C3B7BCBCB0E82E687770>

< B9B0C3B7BCBCB0E82E687770> 최첨단반도체에서의 ALD 증착기술 DOI: 10.3938/PhiT.21.006 황철주 ALD (Atomic Layer Deposition) Process Technology in the Semiconductor Industry Chul Joo HWANG New and improved semiconductor technology will open a new generation

More information

반도체 i ii iii iv v 2011 산업기술로드맵 정보통신 반도체분야 . 개요 3 2011 산업기술로드맵 정보통신 반도체분야 . 산업의환경변화 7 2011 산업기술로드맵 반도체분야 8 . 산업의환경변화 9 2011 산업기술로드맵 반도체분야 10 . 산업의환경변화 11 2011 산업기술로드맵 반도체분야 12 . 산업의환경변화 13 2011

More information

<4D F736F F D205FB8DEB8AEC3F720C1F6B8F1C7F65FBBEABEF75F4A4D485FBBEAC8ADB9B F FBCF6C1A42E646F63>

<4D F736F F D205FB8DEB8AEC3F720C1F6B8F1C7F65FBBEABEF75F4A4D485FBBEAC8ADB9B F FBCF6C1A42E646F63> Industry Brief Analyst 지목현 (6309-4650) mokhyun.ji@meritz.co.kr 가전전자부품/디스플레이 2012. 11.28 Overweight Top pick LG디스플레이(034220) Buy, TP 40,000원 산화물TFT, 2013년 디스플레이의 뜨거운 감자 2013년 산화물TFT는 태블릿 중심으로 본격적인 적용 확대

More information

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63>

<4D F736F F D20B9DDB5B5C3BC20B0F8C1A420BAAFC8AD D5020B0F8C1A42E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 06. 11 반도체 Overweight 공정변화 4: CMP(Chemical Mechanical Polishing) Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy,

More information

Microsoft Word - HMC_Company_DNF_Final

Microsoft Word - HMC_Company_DNF_Final 215. 7. 8 디엔에프 (927) BUY / TP 33, 원 Analyst 이존아단 2) 3787-2186 jon@hmcib.com 반도체미세화의소재수혜주 현재주가 (7/7) 상승여력 25,6 원 28.9% 시가총액발행주식수자본금 / 액면가 2,771 억원 1,762 천주 54억원 /5 원 52주최고가 / 최저가 29,3 원 /15, 원 일평균거래대금 (6일

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

ㅇ ㅇ

ㅇ ㅇ ㅇ ㅇ ㅇ 1 ㆍ 2 3 4 ㅇ 1 ㆍ 2 3 ㅇ 1 2 ㆍ ㅇ 1 2 3 ㆍ 4 ㆍ 5 6 ㅇ ㆍ ㆍ 1 2 ㆍ 3 4 5 ㅇ 1 2 3 ㅇ 1 2 3 ㅇ ㅇ ㅇ 붙임 7 대추진전략및 27 개세부추진과제 제 5 차국가공간정보정책기본계획 (2013~2017) 2013. 10 국토교통부 : 2013 2017 차 례 제 1 장창조사회를견인하는국가공간정보정책

More information

<30312E2028C3D6C1BEBAB8B0EDBCAD29BDB4C6DBBCB6C0AF5F E786C7378>

<30312E2028C3D6C1BEBAB8B0EDBCAD29BDB4C6DBBCB6C0AF5F E786C7378> 슈퍼섬유 1-No. 20130730 Multi Client Report 슈퍼섬유시장분석및성장전망 (2013) Jul., 2013 화학경제연구원 CHEMICAL MARKET RESEARCH INC. #1204, JnK Digital Tower, 111 Digital 26th, Guro-gu, Seoul 152-050, Korea TEL : +822-6124-6660

More information

Microsoft Word - pcb_index_report.doc

Microsoft Word - pcb_index_report.doc 보고서무단복사및유통금지 2008 년 10 월 10 일 Cischem. Com Co., Ltd./Consulting Division http://www.cischem.com E-mail : cischem@cischem.com Tel(02-322-0144), Fax(02-322-0147) 121-869, 서울시마포구연남동 565-15호지남빌딩 503호 Contents

More information

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap

산업동향 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 02) Q16 부터 3D NAND 의신규 Cap 산업동향 216. 9. 29 Overweight (Maintain) 반도체 3D NAND 신규장비투자재개. 1 년만이다! 반도체 / 디스플레이 Analyst 박유악 2) 3787-563 yuak.pak@kiwoom.com 4Q16 부터 3D NAND 의신규 Capa 투자가재개되며, NAND 산업의총 CapEx 가 217 년 128 억달러 (+12%YoY) 와

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원

Industry Brief 반도체산업 3D NAND 투자가앞당겨진다 Analyst 박유악 ( ) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,500,000 원 SK 하이닉스 BUY TP 42,000 원 반도체산업 3D NAND 투자가앞당겨진다 216. 4. 1 Analyst 박유악 (698-6688) Overweight Meritz s Top Picks 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 실리콘웍스 STRONG BUY TP 5, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 디엔에프 BUY

More information

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials

Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials OLED 시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1. OLED OLED(Organic Light Emitting Diodes),,,, LCD, [ 그림 ] OLED 의구조 자료 : TechNavio, Global Organic Light-emitting Diode (OLED) Materials Market, 2017-2 -

More information

메모리반도체시장규모및성장률 ( 억달러, %) 비메모리반도체시장규모및성장률 ( 억달러, %) Ⅰ 3/21 4/21

메모리반도체시장규모및성장률 ( 억달러, %) 비메모리반도체시장규모및성장률 ( 억달러, %) Ⅰ 3/21 4/21 제2018-7호 2018. 4. 6. 조사국국제경제부국제종합팀국제경제리뷰이창기차장 (4280) 차준열조사역 (4279) 세계반도체시장의호황배경및시사점 IoT 부문별반도체시장규모전망 ( 억달러 ) 주요 D램업체점유율변화 1) (%) 글로벌반도체시장매출추이 ( 분기별 ) D 램 1) 및낸드플래시 2) 가격 ( 달러 ) 자료 : Gartner 주 : 1) 점유율

More information

Ⅰ Ⅱ Ⅲ Ⅳ

Ⅰ Ⅱ Ⅲ Ⅳ 제 2 차유비쿼터스도시종합계획 국토교통부 Ⅰ Ⅱ Ⅲ Ⅳ Ⅴ - 4 - 1 배경및법적근거 2 계획의수립방향 - 3 - 3 계획수립의성격및역할 4 계획수립경위 - 4 - Ⅱ 1 국내외여건변화 가. 현황 - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - 나. 문제점및기본방향 - 14 - 2 국내 U-City 현황 가. 현황 -

More information

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx)

(Microsoft Word - 150316_\271\335\265\265\303\274_\300\314\264\326\303\326\301\276.docx) 산업분석 반도체/디스플레이 이베스트투자증권 어규진입니다. 작년부터 반도체/디스플레이 업황이 뜨겁습니다. Gate 가 부족하기 때문이죠. 반도체와 디스플레이의 수급이 타이트하다는 의미입니다. 과거 반도체/디스플레이 1 차 업황호조가 공격적인 투자집행에 따른 대규모 라인증설 때문이었다면, 금번 2 차 업황호조는 대규모 투자에 따른 과다경쟁 없이도 공정의 미세화,

More information

C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 개관 완성차브랜드현황 협력업체 ( 부

C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 개관 완성차브랜드현황 협력업체 ( 부 Global Market Report 17-039 Global Market Report 태국자동차산업글로벌밸류체인 (GVC) 진출방안 방콕무역관 C O N T E N T S 목 차 요약 / 1 Ⅰ. 태국자동차산업현황 2 1. 개관 5 2. 태국자동차생산 판매 수출입현황 13 3. 우리나라의대태국자동차 부품수출현황 Ⅱ. 태국자동차산업밸류체인현황 16 1. 개관

More information

KEIT PD(15-10)-내지.indd

KEIT PD(15-10)-내지.indd / KEIT PD / KEIT PD / SUMMARY,, 13 300, 15 341, 17 367 5.2% 13 6,000 2%, 90%,,,,,,, ㆍ ㆍ,,, KEIT PD Issue Report PD ISSUE REPORT OCTOBER 2015 VOL 15-10 1. (AC DC, DC AC), (, ),, MOSFET, IGBT(Insulated Gate

More information

Gelest Commercializes Diiodosilane to Meet Global Demand for Next-Generation Semiconductors

Gelest Commercializes Diiodosilane to Meet Global Demand for Next-Generation Semiconductors Client: Gelest Media contact: Mike Rubin 732-982-8238 mike.rubin@hapmarketing.com For Immediate Release GELEST, INC. COMMERCIALIZES DIIODOSILANE TO MEET GLOBAL DEMAND FOR NEXT-GENERATION SEMICONDUCTORS

More information

Microsoft Word - VB_May

Microsoft Word - VB_May SK securities Research center June.13 1 반도체최성제 dchoi@sk.com / +82-3773-94 / 디스플레이정한섭 hanchong@sk.com / +82-3773-9 Contents 1. Global IT Company Peer Group Table 3 2. Global IT Company Valuation Chart 3.

More information

2010교육프로그램_08-0000

2010교육프로그램_08-0000 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 Contents 나노융합실용화센터 대구기계부품연구원 경북대학교 계명대학교 영진전문대학 영남이공대학 2 4 6 8 18 30 32 36 38 42 3 2010 교육프로그램안내 메카트로닉스및나노융합기업지원서비스사업 메카트로닉스 및 나노융합 기업지원서비스사업 개요 비 전 교육내용 현장생산인력 및 연구인력의

More information

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 (

제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 생활용품검사검사종류검사품목검사방법 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 호 ( 제 KI011호사업장 : 서울특별시구로구디지털로26길 87 ( 구로동 ) 02. 공산품및소비제품 02.003 생활용품검사 안전확인대상생활용품 생활 휴대용레이저용품 안전확인대상생활용품의안전기준부속서 46 ( 국가기술표준원고시제 2017-032 호 (2017.2.8.)) 03. 재료및부품 03.001 자동차부품검사 기능안전심사 ISO 26262-2 : 2011

More information

1

1 2014 년도기술수준평가결과 ( 안 ) - 120 개국가전략기술 - ( 1 ) 2014 가. 10대기술분야 ( 2 ) 나. 120개국가전략기술분야 ( 3 ) 다. 미래성장동력산업 (13 개 ) 분야 4. ( 4 ) 2014 년도기술수준평가결과 ( 안 ) -120 개국가전략기술 - 목차 1. 평가개요 1 2. 평가단계별추진절차 2 3. 평가결과 3 4. 국가전략기술로본미래성장동력산업별기술수준

More information

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63>

<4D F736F F D20B9DDB5B5C3BC5F34BFF920BBF3B9DDBFF D20B0EDC1A4B0A12E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 04. 15 반도체 Overweight 4 월상반월 DRAM 고정가 8.5% 상승 Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy, TP 36,000 원 결론 - PC

More information

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3

[ 표 1] 216 년출시예정스마트폰주요스펙 : 모든 Segment 에서 DRAM Density 증가 Premium Model Galaxy S7 LG G5 Huawei P9max HTC One M1 Vivo Xplay5 Elite Release Date 216 년 3 반도체산업 삼성전자, Foundry 부문성장지속 216. 3. 1 Analyst 박유악 (698-6688) Overweight 관련종목 삼성전자 BUY TP 1,5, 원 SK 하이닉스 BUY TP 42, 원 SK 머티리얼즈 BUY TP 16, 원 원익머트리얼즈 BUY TP 9, 원 리노공업 BUY TP 57, 원 Investment Summary - 16 년삼성전자

More information

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다.

태양광 기업들 '떠난다' vs '기회다' 명암 시장은 재편 중 2013.06.02 2일 업계에 따르면 최근 태양광 사업에서 손을 떼거나 휴업을 결정하는 기업들이 늘고 있다. LG실트론은 지난달 22일 열린 이사회에서 150MW급 태양광 웨이퍼 사업을 정리하기로 했다. 차세대 반도체 공정, 노광에서 식각 증착 등으로 무게 이동 핀펫(FinFET) 3차원(3D) 낸드플래시 등 차세대 반도체 시장을 놓고 국내 장비 업체들의 기대감이 커지고 있다. 그동안 반도체 미세공정을 주도한 핵심 장비는 노광기였으나, 해외 선두 장비 업체들이 극자외선(EUV) 등 차세대 노광기 개발에 한계를 보이면서 반도체 업체들은 최근 화학 증기증착(CVD)

More information

오토 2, 3월호 내지최종

오토 2, 3월호 내지최종 Industry Insight 인사이드 블루투스 자동차와 블루투스의 공존법칙 운전 중 휴대전화 사용을 금지하는 법률이 세계적으로 확산되고 있으며, 블루투스(Bluetooth) 기반의 핸즈프리 기능을 이용하는 것이 이에 대한 확실한 대안으로 자리잡았다. 그러나 차기 무선 멀티미디어 스트리밍에 관해서는 어떤 일이 일어날 지 아무도 알 수 없다. 글 윤 범 진 기자

More information

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제

가. 회사의 법적, 상업적 명칭 당사의 명칭은 주성엔지니어링 주식회사라고 표기합니다. 또한 영문으로는 JUSUNG Engineering Co., Ltd. 라 표기합니다. 나. 설립일자 및 존속기간 당사는 반도체, FPD, 태양전지, 신재생에너지, LED 및 OLED 제 분 기 보 고 서 (제 18 기) 사업연도 2012년 01월 01일 2012년 03월 31일 부터 까지 금융위원회 한국거래소 귀중 2012 년 5 월 15 일 회 사 명 : 주성엔지니어링(주) 대 표 이 사 : 황 철 주 본 점 소 재 지 : 경기도 광주시 오포읍 능평리 49 (전 화) 031-760-7000 (홈페이지) http://www.jseng.com

More information

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63>

<4D F736F F D20B8DEB8F0B8AEB4C220BCD6B7E7BCC7C0B8B7CE20C1F8C8ADC7D1B4D9212E646F63> Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2012. 9. 25 반도체 Overweight 메모리는솔루션으로진화한다! Top Picks 삼성전자 (005930) Buy, TP 1,800,000원 SK 하이닉스 (000660) Buy, TP 33,000 원 결론 - 메모리산업은 Commodity

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

신규투자사업에 대한 타당성조사(최종보고서)_v10_클린아이공시.hwp

신규투자사업에 대한 타당성조사(최종보고서)_v10_클린아이공시.hwp 신규투자사업에 대한 타당성 조사 (요약본) 2015. 4. 지방공기업평가원 제주관광공사가부족한쇼핑인프라를보완하고, 수익을제주관광진흥에재투자하여 관광산업활성화와관광마케팅재원확보 라는양대목적달성에기여하고공기업으로서사회적책임을다하고자시내면세점사업에투자하려는의사결정에대한타당도는전반적으로볼때 긍정 으로평가할수있음 역할및필요성 대내 외환경 정책및법률 경제성기대효과

More information

목 차 2012-5 - 7) - 6 - - 7 - 직업교육의메카, 명품신성인양성 1 사업명 - 8 - 2 필요성및목적 - 9 - 직업교육의메카, 명품신성인양성 - 10 - - 11 - 직업교육의메카, 명품신성인양성 - 12 - - 13 - 직업교육의메카, 명품신성인양성 2-1 필요성 - 14 - 2-2 목적 3 사업내용총괄 3-1 사업개요 - 15 - 직업교육의메카,

More information

,. 2 차세대태양전지민 관합동간담회

,. 2 차세대태양전지민 관합동간담회 1 진천친환경에너지타운착공식 보도자료 미래부 ᆞ 충북도, 국내최초로 신재생에너지복합형 친환경에너지타운본격조성 1 진천친환경에너지타운조성 (2015~2018 년, 135 억원 ) 2 차세대태양전지 R&D 투자강화로 2020 년까지매출액 6,000 억원달성 - 미래부, 차세대태양전지 R&D 집중투자 (2020 년까지총 1 천억원규모 ) - 서울대최만수교수팀, LG

More information

산업별인적자원개발위원회역할및기능강화를위한중장기발전방안연구 한국직업자격학회

산업별인적자원개발위원회역할및기능강화를위한중장기발전방안연구 한국직업자격학회 산업별인적자원개발위원회역할및기능강화를위한중장기발전방안연구 2015. 12 한국직업자격학회 o o o o o 1) SC 내에서 Sub-SC 가존재하는것이아니라 NOS, 자격개발등의개발및운영단위가 Sub-sector 로구분되어있음을의미함. o o o o o o o o o Ⅰ. 서론 1 1. 연구필요성 o o 산업별인적자원개발위원회역할및기능강화를위한중장기발전방안연구

More information

2011-67 차례 - iii - 표차례 - vii - 그림차례 - xi - 요약 - i - - ii - - iii - 제 1 장서론 대구 경북지역인력수급불일치현상진단과해소방안에대한연구 1) ( ) 574 208 366 263 103 75.6 77.9 74.3 73.0 77.7 19.3 19.2 19.4 20.5 16.5 3.0 1.0 4.1

More information

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예

2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 2017 년 1 학기 공학논문작성법 (3 강 ) 공학논문작성방법개요 좋은공학논문작성을위해서는무엇이필요한가? (1) 논리적이고정확하게글쓰기 (2강내용에연결 ) (2) Abstract 작성법의예 Homework #2 [2] 답의예 ( 학생 1): 소폭수정 다양한외부환경을효과적으로검지할수있는센서기술은검지변환, 신호처리및지능화기술등융합 적특성을갖고있음. 현재대부분실용화중심의연구가주류를이루고있으며,

More information

16-27( 통권 700 호 ) 아시아분업구조의변화와시사점 - 아세안, 생산기지로서의역할확대

16-27( 통권 700 호 ) 아시아분업구조의변화와시사점 - 아세안, 생산기지로서의역할확대 16-27( 통권 700 호 ) 2016.07.08 - 아세안, 생산기지로서의역할확대 본보고서에있는내용을인용또는전재하시기위해서는본연구원의허락을얻어야하며, 보고서내용에대한문의는아래와같이하여주시기바랍니다. 총괄 ( 경제연구실장 ) : 주원이사대우 (2072-6235, juwon@hri.co.kr) 경제연구실 / 동향분석팀 : : 조규림선임연구원 (2072-6240,

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼

반도체산업 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 월 PC DRAM 고정가는 4GB DDR3 모듈기준 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼 1 년 6 개월만에 DRAM 고정가첫상승 Industry Note 2016. 8. 1 7 월 PC DRAM 고정가는 4GB DDR3 모듈기준 13.25 달러로 6 월대비 6% 상승하며 18 개월만에처음으로상승. PC DRAM 현물가강세로고정 가도강세전망. 삼성전자, SK 하이닉스긍정적 7 월 PC DRAM 고정가는현물가상승영향으로전월대비상승 7 월 PC DRAM

More information

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix

Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Youngin Equipment Solution Technology Contents Why YEST? Chapter 01_ Investment Highlights Chapter 02_ Growth Strategy Chapter 03_ Financial Highlights Appendix Why YEST? 01, YEST 38.3%, YEST 580 2015

More information

52 l /08

52 l /08 Special Theme_임베디드 소프트웨어 Special Report 모바일 웹 OS 기술 현황과 표준화 동향 윤 석 찬 다음커뮤니케이션 DNALab 팀장 1. 머리말 디바이스에 애플리케이션을 배포할 수 있다. 본 고에서는 모바일 웹 OS의 현황과 임베디드 환 오늘날 인터넷에서 웹 기반 콘텐츠가 차지하는 부 경에서 채용되고 있는 최적화 기술을 살펴보고, 웹

More information

Microsoft Word _Type2_기업_LG디스플레이.doc

Microsoft Word _Type2_기업_LG디스플레이.doc 211 년 1 월 24 일기업분석 BUY ( 유지 ) LG 디스플레이 (3422) 긍정적시각으로접근할때 김동원 김경민 1분기선제적비중확대필요 적정주가, 원 주가상승률 1개월 3개월 개월 2 분기부터본격적실적개선 세트재고소진은연착륙의신호 결산기말 12/8A 12/9A 12/1P 12/11F 12/12F 1분기선제적비중확대필요 그림 1> LGD 주가상승촉매 1

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

목차 Ⅰ Ⅱ (2013)

목차 Ⅰ Ⅱ (2013) 뿌리산업실태및인력수급현황분석 목차 Ⅰ. 1 1. 1 2. 1 Ⅱ. 3 1. 3. 3. 4. 5. 5. 6. 7. 8 2. 11. 11. 12 3. 17. 17. 19. 21. 23 4. (2013) 25. 25. 27 5. 1 29 Ⅲ. 31 1. (2013) 31. 31. 33 2. (2013) 35. 35. (2014) 37 3. (2013) 39. 39.

More information

<4D F736F F F696E74202D20B9DDB5B5C3BCB0F8C1A426B8DEB8F0B8AEBFEBBDC5BCD2C0E75FBEF7B7CEB5E52E707074>

<4D F736F F F696E74202D20B9DDB5B5C3BCB0F8C1A426B8DEB8F0B8AEBFEBBDC5BCD2C0E75FBEF7B7CEB5E52E707074> Chap. 1 Information/Communication Technology 반도체칩제조공정및메모리반도체 Advanced Materials and Future Technology Fabrication Processes of Semiconductor Chips ( 반도체칩제조공정 ) IC (Integrated Circuit) Devices ( 집적회로소자

More information

붙임2-1. 건강영향 항목의 평가 매뉴얼(협의기관용, '13.12).hwp

붙임2-1. 건강영향 항목의 평가 매뉴얼(협의기관용, '13.12).hwp 환경영향평가서내위생 공중보건항목작성을위한건강영향항목의평가매뉴얼 - 협의기관용 - 2013. 12 환경부환경보건정책관실 - i - - ii - - iii - - iv - - v - - vi - 제 1 장건강영향평가의개요 건강영향평가의정의건강영향평가제도의필요성건강영향평가의목적및기능건강영향평가의원칙건강결정요인 - 1 - - 2 - - 3 - 제 2 장건강영향평가제도의시행방안

More information

ICT À¶ÇÕÃÖÁ¾

ICT À¶ÇÕÃÖÁ¾ Ver. 2012 T TA-11104-SA 4 21 21 42 65 91 103 124 140 161 187 Ver. 2012 ICT Standardization Strategy Map 4 Ver. 2012 Ver. 2012 5 ICT Standardization Strategy Map 6 Ver. 2012 Ver. 2012 7 ICT Standardization

More information

Ⅰ 개요 1 기술개요 1.,,,,, 600,, (IFB),,

Ⅰ 개요 1 기술개요 1.,,,,, 600,, (IFB),, 고온단열재시장 연구개발특구기술글로벌시장동향보고서 2018.1 Ⅰ 개요 1 기술개요 1.,,,,, 600,, (IFB),, 2. - 2 - (Value- chain),,, [ 그림 ] 고온단열재의밸류 - 체인 2 고온단열재기술의활용시장범위,,,,,,, - 3 - Ⅱ 시장동향 2016 475 2,000 8.16%, 2021 703 5,000 [ 그림 ] 글로벌단열재시장규모및전망

More information

목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ]

목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ] 우리는소비자와함께행복한세상을만든다 건전지품질비교시험결과보고서 2012. 8 시험분석국 기계전기팀 목차 Ⅰ 시험개요 1 Ⅱ 건전지품질비교시험결과요약 4 Ⅲ 건전지종합평가표 8 Ⅳ 시험결과조치계획 9 [ ] 1. 10 2. 24 3. 25 I 시험개요 1. 시험배경및목적 - 1 - 2. 시험대상 () ( ) 1 [4 ] Zhejiang Mustang Battery

More information

, Analyst, 3774 1785, Figure 1 ecall * PSAP (Public Safety Answering Points) : 응급 콜센터 개념 MSD (Minimum Set of Data) : 사고 시간, 장소, 운

, Analyst, 3774 1785, Figure 1 ecall * PSAP (Public Safety Answering Points) : 응급 콜센터 개념 MSD (Minimum Set of Data) : 사고 시간, 장소, 운 Sector comment Korea / Telecommunication 27 May 2016 OVERWEIGHT Stocks under coverage Company Rating Price Target price * 아래의 리스트를 클릭하시면 전체 리포트를 다운 받으실 수 있습니다 사물인터넷(1) IoT 기기의 보급 2015.12.11 사물인터넷(2) IoT

More information

목 차 1. LED/ 광 1 2. 자동차 의료기기 정보가전 플랜트엔지니어링 생산시스템 조선 로봇 화학공정 세라믹 디스플레이 이차전지

목 차 1. LED/ 광 1 2. 자동차 의료기기 정보가전 플랜트엔지니어링 생산시스템 조선 로봇 화학공정 세라믹 디스플레이 이차전지 주요산업별글로벌기술규제 2015. 12. 산업통상자원부 한국산업기술진흥원 목 차 1. LED/ 광 1 2. 자동차 38 3. 의료기기 71 4. 정보가전 88 5. 플랜트엔지니어링 105 6. 생산시스템 119 7. 조선 133 8. 로봇 165 9. 화학공정 189 10. 세라믹 206 11. 디스플레이 231 12. 이차전지 246 13. 섬유의류 265

More information

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L

도현우, Analyst, , nm 2D IM Flash 20nm 16nm Gen1 Gen2 3D Samsung 21nm 16nm 14nm 2D 24L Sector update Korea / Semiconductors 25 February 2016 NEUTRAL Stocks under coverage Company Rating Price Target price 삼성전자 (005930 KS) BUY 1,172,000 1,550,000 SK 하이닉스 (000660 KS) BUY 30,900 37,000 이오테크닉스

More information

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770>

<B8B6B1D4C7CF2DBAD0BEDFB0CBC5E4BFCF2DB1B3C1A4BFCFB7E128C0CCC8ADBFB5292DC0DBBCBAC0DAB0CBC1F5BFCF2DB8D3B8AEB8BB2DB3BBBACEB0CBC1F52E687770> 가정용 지능로봇의 기술동향 머리말 목 차 제1장 서 론 1 제2장 기술의 특징 4 제3장 가정용 로봇 산업 및 기술수요 전망 14 4장 가정용 로봇의 기술동향 27 5장 주요국의 가정용 로봇의 기술정책 분석 61 6장 국제표준화와 특허출원 동향 80 7장 결론 및 정책 제언 86 참고문헌 92 표 목차 그림 목차 제1장 서 론 1. 기술동향분석의 목적 및

More information

- 2 -

- 2 - 2014 년융 복합기술개발사업 ( 융 복합과제 ) 제안요청서 목차 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - - 10 - - 11 - - 12 - - 13 - - 14 - - 15 - - 16 - - 17 - Ω - 18 - - 19 - - 20 - 기계소재 -001-21 - 기계소재 -002-22 - 기계소재

More information

수출및수입액현황 (2016) 6억 1,284 만달러억 1 7,045 만달러 4억 4,240 만달러 2015 년대비 15.4 % 증가 2015 년대비 11.1 % 증가 2015 년대비 1.3 % 증가 수출액 수출입차액 수입액 지역별수출액 ( 비중 ) 일본 4,129만달러

수출및수입액현황 (2016) 6억 1,284 만달러억 1 7,045 만달러 4억 4,240 만달러 2015 년대비 15.4 % 증가 2015 년대비 11.1 % 증가 2015 년대비 1.3 % 증가 수출액 수출입차액 수입액 지역별수출액 ( 비중 ) 일본 4,129만달러 국내캐릭터산업현황 사업체수및종사자수 사업체 종사자 2,069 개 2,213 개 30,128 명 33,323 명 2015 년 7.0 % 10.6 % 증가증가 2016년 2015년 2016 년 매출액및부가가치액 매출액 부가가치액 11 조 662 억원 4 조 3,257 억원 10 조 807 억원 3 조 9,875 억원 2015 년 9.8 % 8.5 % 증가증가 2016년

More information

Contents MAY Economic ISSN http www chungnam net http

Contents MAY Economic ISSN http www chungnam net http 1 Economic 2 3 4 ISSN 2093 0844 Chungnam Economic Trends May 2016. 5 인재를둘러싼두뇌전쟁! 충남이인재를유인 양성하려면? 수도권으로 G o! G o! 지역내인재유출 H i g h 2013 인재와기업입지 고용 지역성장간관계 1 66 1 1 2 21 4 5 3 9 ➊ 관용성확충 ➌ 혁신지구조성및유형별인재조기경보시스템개발

More information

목 차 주요내용요약 1 Ⅰ. 서론 3 Ⅱ. 스마트그리드산업동향 6 1. 특징 2. 시장동향및전망 Ⅲ. 주요국별스마트그리드산업정책 17 Ⅳ. 미국의스마트그리드산업동향 스마트그리드산업구조 2. 스마트그리드가치사슬 3. 스마트그리드보급현황 Ⅴ. 미국의스마트그리드정

목 차 주요내용요약 1 Ⅰ. 서론 3 Ⅱ. 스마트그리드산업동향 6 1. 특징 2. 시장동향및전망 Ⅲ. 주요국별스마트그리드산업정책 17 Ⅳ. 미국의스마트그리드산업동향 스마트그리드산업구조 2. 스마트그리드가치사슬 3. 스마트그리드보급현황 Ⅴ. 미국의스마트그리드정 2012-02 2012. 1. 13 미국스마트그리드산업의 Value Chain 및정책동향 주요내용요약 서론 스마트그리드산업동향 주요국별스마트그리드산업정책 미국의스마트그리드산업동향 미국의스마트그리드정책동향 21세기스마트그리드산업전략 결론 작성김정욱책임연구원, 미국거점 kjwcow@kiat.or,kr +1-404-477-3288 감수조영희팀장, 국제협력기획팀 yhcho@kiat.or.kr

More information

경북자동차부품관련연구소의효율적 운영방안

경북자동차부품관련연구소의효율적 운영방안 2009-046 경북자동차부품관련연구소의효율적 운영방안 차례 표차례 그림차례 요약 1. 연구배경및목적,,, IT. 3,.,.. 2. 국내 지역자동차산업현황 2007 20.3 GDP 2.5%. 9%, 12%, 13%, 07 409, 285 5, 147 2.9. 8.6%, 7.0%, 4.5%, i . ( ) ( ) ( ) 4,577 277,319 38,865,170

More information

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26

5월전체 :7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26 5월전체 2005.6.9 5:7 PM 페이지14 NO.3 Acrobat PDFWriter 제 40회 발명의날 기념식 격려사 존경하는 발명인 여러분! 연구개발의 효율성을 높이고 중복투자도 방지할 것입니다. 우리는 지금 거센 도전에 직면해 있습니다. 뿐만 아니라 전국 26개 지역지식재산센터 를 통해 발명가와 중소기업들에게 기술개발에서 선진국은 첨단기술을 바탕으로

More information

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9

ICT EXPERT INTERVIEW ITS/ ICT? 차량과 인프라 간 통신(V2I) Nomadic 단말 통신(V2P) 차량 간 통신(V2V) IVN IVN [ 1] ITS/ ICT TTA Journal Vol.160 l 9 오늘날 자동차와 도로는 ICT 기술과 융합되어 눈부시게 발전하고 있습니다. 자동차는 ICT 기술과 접목되어 스마트 자동차로 변화하며 안전하고 편리하며 CO 2 방출을 줄이는 방향으로 기술개발을 추진하고 있으며 2020년경에는 자율 주행 서비스가 도입될 것으로 전망하고 있습니다. 또한, 도로도 ICT 기술과 접목되어 스마트 도로로 변화하며 안전하고 편리하며 연료

More information

- 2 -

- 2 - '14 년도제품안전기술기반조성사업과제수요조사제안서 - 2 - [ 별첨 1] 과제명작성가이드라인 산업기술혁신기술개발사업과제명작성가이드라인 1. 기본방향 - 3 - 2. 가이드라인 - 4 - [ 별첨 2] 산업기술분류표 / / / 100101 100601 / 100102 / 100602 100103 100603 / 100104 / 100604 100105

More information

Art & Technology #5: 3D 프린팅 - Art World | 현대자동차

Art & Technology #5: 3D 프린팅 - Art World | 현대자동차 Art & Technology #5: 3D 프린팅 새로운 기술, 새로운 가능성 미래를 바꿔놓을 기술 이 무엇인 것 같으냐고 묻는다면 어떻게 대답해야 할까요? 답은 한 마치 한 쌍(pair)과도 같은 3D 스캐닝-프린팅 산업이 빠른 속도로 진화하고 있는 이유입니 가지는 아닐 것이나 그 대표적인 기술로 3D 스캐닝 과 3D 프린팅 을 들 수 있을 것입니 다. 카메라의

More information

KAERIAR hwp

KAERIAR hwp - i - - ii - - iii - - iv - - v - - vi - Photograph of miniature SiC p-n and Schottky diode detector Photograph SiC chip mounted on a standard electrical package Photograph of SiC neutron detector with

More information

<C3E6B3B2B1B3C0B0313832C8A32DC5BEC0E7BFEB28C0DBB0D4292D332E706466>

<C3E6B3B2B1B3C0B0313832C8A32DC5BEC0E7BFEB28C0DBB0D4292D332E706466> 11-8140242-000001-08 2013-927 2013 182 2013 182 Contents 02 16 08 10 12 18 53 25 32 63 Summer 2 0 1 3 68 40 51 57 65 72 81 90 97 103 109 94 116 123 130 140 144 148 118 154 158 163 1 2 3 4 5 8 SUMMER

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

Microsoft Word - ★삼성전자 3Q12 Conference Call Summary.doc

Microsoft Word - ★삼성전자 3Q12 Conference Call Summary.doc 삼성전자 (005930) 삼성전자 3Q12 Conference Call Summary 최성제 / 3773-9045 1. 손익계산서(연결기준) (단위: 조원) 2Q12 QoQ 3Q11 YoY 매출액 52.18 47.60 10% 41.27 26% 반도체 8.72 8.60 1% 9.48-8% 메모리 5.22 5.42-4% 5.50-5% DP 8.46 8.25 3%

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

Microsoft Word - C001_UNIT_ _

Microsoft Word - C001_UNIT_ _ 14 LG 는 IT 가아닌자동차의르네사스를인수한셈 매수 ( 유지 ) T.P 32,000 원 ( 유지 ) Analyst 정한섭 hanchong@sk.com +823773900 Company Data 자본금 81 억원 발행주식수 1,626 만주 자사주 74 만주 액면가 00 원 시가총액 4,326 억원 주요주주 ( 주 ) 코멧네트워크 16.2% 한대근 4. 외국인지분률.

More information

Microsoft Word - 20160525172217103.doc

Microsoft Word - 20160525172217103.doc 216년 5월 26일 산업분석 디스플레이 Overweight (유지) 실적 턴어라운드 기대 LCD 구조조정, 하반기 수급 및 가격에 긍정적 디스플레이,가전,휴대폰 Analyst 김동원 2-6114-2913 jeff.kim@hdsrc.com RA 김범수 2-6114-2932 bk.kim@hdsrc.com 하반기 글로벌 디스플레이 산업은 한국 패널업체의 LCD

More information

에너지절약_수정

에너지절약_수정 Contents 산업훈장 포장 국무총리표창 삼성토탈주식회사 09 SK하이닉스(주) 93 (주)이건창호 15 한국전자통신연구원 100 현대중공업(주) 20 KT 106 두산중공업 주식회사 24 (사)전국주부교실 대구지사부 111 한국전력공사 30 (주)부-스타 36 [단체] (주)터보맥스 115 [단체] 강원도청 119 [단체] 현대오일뱅크(주) 124 [단체]

More information

- 2 -

- 2 - 작품번호 37 Solar material 로쓰일수있는검정색물질의재발견! 출품분야학생부출품부문화학 2009. 5. 13 시 군 학교 ( 소속 ) 학년 ( 직위 ) 성 명 성남시풍생중학교 2 김호기, 이희원 지도교사풍생중학교교사김경원 - 1 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - 석탄은주로탄소로구성되어있고, 수소와산소가들어있다. 이밖에질소

More information

조사보고서 구조화금융관점에서본금융위기 분석및시사점

조사보고서 구조화금융관점에서본금융위기 분석및시사점 조사보고서 2009-8 구조화금융관점에서본금융위기 분석및시사점 Ⅰ. 서론 Ⅱ. 구조화금융의미시적시장구조 2 조사보고서 2009-08 요약 3 Ⅲ. 서브프라임위기의현황과분석 4 조사보고서 2009-08 Ⅳ. 서브프라임위기의원인및특징 요약 5 6 조사보고서 2009-08 Ⅴ. 금융위기의파급경로 Ⅵ. 금융위기극복을위한정책대응 요약 7 8 조사보고서 2009-08

More information

목 차 Ⅰ. 조사개요 1 1. 조사배경및목적 1 2. 조사내용및방법 2 3. 조사기간 2 4. 조사자 2 5. 기대효과 2 Ⅱ. P2P 대출일반현황 3 1. P2P 대출의개념 3 2. P2P 대출의성장배경 7 3. P2P 대출의장점과위험 8 4. P2P 대출산업최근동향

목 차 Ⅰ. 조사개요 1 1. 조사배경및목적 1 2. 조사내용및방법 2 3. 조사기간 2 4. 조사자 2 5. 기대효과 2 Ⅱ. P2P 대출일반현황 3 1. P2P 대출의개념 3 2. P2P 대출의성장배경 7 3. P2P 대출의장점과위험 8 4. P2P 대출산업최근동향 조사보회고서 온라인 P2P 대출서비스실태조사 2016. 6. 시장조사국거래조사팀 목 차 Ⅰ. 조사개요 1 1. 조사배경및목적 1 2. 조사내용및방법 2 3. 조사기간 2 4. 조사자 2 5. 기대효과 2 Ⅱ. P2P 대출일반현황 3 1. P2P 대출의개념 3 2. P2P 대출의성장배경 7 3. P2P 대출의장점과위험 8 4. P2P 대출산업최근동향 12 Ⅲ.

More information

<4D F736F F D20B9DAC0AFBEC75FB9DDB5B5C3BCBCF6B1DE5F E646F63>

<4D F736F F D20B9DAC0AFBEC75FB9DDB5B5C3BCBCF6B1DE5F E646F63> Analyst 박유악 (6309-4523) Yuak.Pak@meritz.co.kr 2014. 3. 28 반도체산업 Overweight 삼성전자, Mobile DRAM 점유율확대전망 삼성전자 (005930) Buy, TP 1,700,000 원 DRAM Supply/Demand - 2014년공급과잉률 +3.2% 전망 - 2H14 삼성전자의 Mobile DRAM

More information

보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) ( ) 16. OECD (Frascati Manual) 48,381 (,, ), 20

보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) ( ) 16. OECD (Frascati Manual) 48,381 (,, ), 20 보도자료 2014 년국내총 R&D 투자는 63 조 7,341 억원, 전년대비 7.48% 증가 - GDP 대비 4.29% 세계최고수준 연구개발투자강국입증 - (, ) 2014 10 30() 16. OECD(Frascati Manual) 48,381 (,, ), 2014,. * 통계법국가승인지정통계 ( 제 10501 호 ) 로서 1963 년에최초실시된이래, 매년시행하고있는전국

More information

13대 목 216 년 2 월 주력목의 수출 증감 요인 ㅇ 전년 2 월은 고가 해양플랜트가 다수 포함되었으나, 금년 2 월은 상선 위주 수출로 수출 감소 선박류 (-46.%) ㅇ (선가지수) 7 년 이후 하락세를 보이다 13 년 발주량 증가로 단 상승, 하지만 14 년

13대 목 216 년 2 월 주력목의 수출 증감 요인 ㅇ 전년 2 월은 고가 해양플랜트가 다수 포함되었으나, 금년 2 월은 상선 위주 수출로 수출 감소 선박류 (-46.%) ㅇ (선가지수) 7 년 이후 하락세를 보이다 13 년 발주량 증가로 단 상승, 하지만 14 년 216.3.4 Economist 문정희 3777-892 mjhsilon@kbsec.co.kr 2월 수출, 일평균 수출액 회복과 수출물량 증가는 긍정적 2월 수출총액은 364억 달러로 전년동월대비 12.2% 감소, 시장 예상치 16.6% 감소 전망은 상회 2월 일평균 수출액은 18.2억 달러로 1월에 록한 16.3억 달러 대비 1.9억 달러 개선 저가, 공업제

More information

Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일

Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일 Prologue 01 마그네슘 합금의 장점 및 적용 분야 02 다이캐스팅 이란? 1. About 장원테크 01 Company Overview 02 사업영역 핵심기술력 04 국내 사업장 05 베트남 법인 06 업계 Top Tier 고객사 확보 2. Cash-Cow 모바일 부품 01 Products 02 시장점유율 베트남법인 성장 본격화 04 우호적인 업황 3.

More information

국가표본수기간 평균최초수익률 국가표본수기간 ( 단위 : 개, 년, %) 평균최초수익률 아르헨티나 20 1991-1994 4.4 요르단 53 1999-2008 149.0 오스트레일리아 1,562 1976-2011 21.8 한국 1,593 1980-2010 61.6 오스트리아 102 1971-2010 6.3 말레이시아 350 1980-2006 69.6 벨기에 114

More information

Chap3.SiliconOxidation.hwp

Chap3.SiliconOxidation.hwp 반도체공정 Chap3. Silicon Oxidation 1 Chap. 3. Silicon Oxidation 주요내용 : - silicon dioxide(sio2) 를형성하기위한산화공정 - 산화공정과정의불순물의재분포현상 - SiO2 file의특성과두께측정방법 Why silicon in modern integrated circuit? Ge : 1950년대주로사용

More information

열거형 교차형 전개형 상승형 외주형 회전형 도해패턴 계층형 구분형 확산형 합류형 대비형 상관형 (C) 2010, BENESO All Rights Reserved 2

열거형 교차형 전개형 상승형 외주형 회전형 도해패턴 계층형 구분형 확산형 합류형 대비형 상관형 (C) 2010, BENESO All Rights Reserved 2 c 2010, BENESO All rights reserved 1 열거형 교차형 전개형 상승형 외주형 회전형 도해패턴 계층형 구분형 확산형 합류형 대비형 상관형 (C) 2010, BENESO All Rights Reserved 2 u 열거형 : 대소, 위치등의관계에대해설명 u 교차형 : 중복, 합동, 복합, 공동등의관계에대해설명 설명도, 대소관계도, 제휴관계도,

More information

Microsoft Word _semicon_comment_final.doc

Microsoft Word _semicon_comment_final.doc Issue&News 산업분석 211.16 반도체 Overweight [ 비중확대, 유지 ] DRAM 상승세 2 월까지지속될전망 투자포인트 Top pick 강정원 769.362 jeffkang@daishin.com 삼성전자 Buy 목표주가 32% 2,, 종목명 투자의견 목표주가 삼성전자 Buy 2,, 원 SK 하이닉스 Buy 32, 원 한미반도체 Buy 1,5

More information

반도체산업 3D NAND 방향 3: 1 6 개업체로확대전망 3D NAND 는엔터프라이즈수요확대로시장성이높아짐에따라기존 1 개업체에서 6 개업체로확대전망. 관련 3D NAND 반도체인프라 / 장비 / 소재업체수혜전망 3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업

반도체산업 3D NAND 방향 3: 1 6 개업체로확대전망 3D NAND 는엔터프라이즈수요확대로시장성이높아짐에따라기존 1 개업체에서 6 개업체로확대전망. 관련 3D NAND 반도체인프라 / 장비 / 소재업체수혜전망 3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업 3D NAND 방향 3: 1 6 개업체로확대전망 3D NAND 는엔터프라이즈수요확대로시장성이높아짐에따라기존 1 개업체에서 6 개업체로확대전망. 관련 3D NAND 반도체인프라 / 장비 / 소재업체수혜전망 3D NAND 방향 3: 업체증가로경쟁본격화 (1 개업체 à 6 개업체 ) NAND 산업은현재 2D NAND 중심이나 SSD 수요증가및원가하락이진행되면서 3D

More information

SANsymphony-V

SANsymphony-V 국내대표적인구축사례 (KR) XXXX공사(공공) 2013년 12월 도입 센터 이전에 따른 스토리지가상화 통합 및 이기종통합 이기종 스토리지 (무중단이중하) 무중단 서비스 확보 24시간 운영 체계의 고가용 확보 스토리지 인프라의 유연한 구성 및 통합 환경 구축 업무서버 Unix 20대 업무서버 V 58대 CIe SSD(Fusion IO 3.2TB) ㅇㅇㅇㅇㅇㅇ

More information

Microsoft Word - 반도체_3월 PC DRAM 고정가.doc

Microsoft Word - 반도체_3월 PC DRAM 고정가.doc Industry Brief Analyst 이세철 (6309-4523) seicheol.lee@meritz.co.kr 2013. 03. 18 반도체 Overweight DRAM 가격상승 + NAND 가격상승 Top Picks 삼성전자 (005930) Buy, TP 1,970,000 원 SK 하이닉스 (000660) Buy, TP 36,000 원 결론 - PC

More information

untitled

untitled 13-28 Korea Building Expo in China 2009 www.kobuild.com NO.1 www.duckshin.com The Korea Land Daily 14-15 16 17 19 22 23 24 25 27 I CMYK 20 전 2009년 7월 16일 목요일 면 광 21 고 2009 대한민국 건설환경기술상 국토해양부

More information

<4D F736F F D20302EC0CEC6AEB7CE2BC1BEB8F1B8AEBDBAC6AE2BBCBAB0FA BCBAB0FABEF7B5A5C0CCC6AEBFCFB7E1292E646F6378>

<4D F736F F D20302EC0CEC6AEB7CE2BC1BEB8F1B8AEBDBAC6AE2BBCBAB0FA BCBAB0FABEF7B5A5C0CCC6AEBFCFB7E1292E646F6378> 글로벌트렌드포트폴리오 채권같은주식 (Bond-like stocks) 리츠 (REITs) 스마트하우징 (Smart housing) 시니어이코노미 (Senior Economy) 뉴노멀소비 (New Consumers) 지속성장 (Continuous growth) 머신러닝 (Machine learning) 자율주행 (Autonomous driving) 만물인터넷 (Internet

More information

< > 수출기업업황평가지수추이

< > 수출기업업황평가지수추이 Quarterly Briefing Vol. 2017- 분기 -02 (2017.4.) 해외경제연구소 2017 년 1 분기수출실적평가 및 2 분기전망 < > 수출기업업황평가지수추이 산업별 기업규모별수출업황평가지수추이 (%) : 수출선행지수추이 1 2 2.. 3 - ㅇ 4 . 5 6 . 1 ( ) 7 1 (). 1 8 1 ( ) 1 () 9 1 () < 참고

More information

UDI 이슈리포트제 18 호 고용없는성장과울산의대응방안 경제산업연구실김문연책임연구원 052) / < 목차 > 요약 1 Ⅰ. 연구배경및목적 2 Ⅱ. 한국경제의취업구조및취업계수 3 Ⅲ. 울산경제의고용계수 9

UDI 이슈리포트제 18 호 고용없는성장과울산의대응방안 경제산업연구실김문연책임연구원 052) / < 목차 > 요약 1 Ⅰ. 연구배경및목적 2 Ⅱ. 한국경제의취업구조및취업계수 3 Ⅲ. 울산경제의고용계수 9 UDI 이슈리포트제 18 호 고용없는성장과울산의대응방안 2009.11. 3. 경제산업연구실김문연책임연구원 052)283-7722 / mykim@udi.re.kr < 목차 > 요약 1 Ⅰ. 연구배경및목적 2 Ⅱ. 한국경제의취업구조및취업계수 3 Ⅲ. 울산경제의고용계수 9 Ⅳ. 고용없는성장지속과대응방안 16 고용없는성장과울산의대응방안 요약문. 2005 5,..,..,..,

More information

Company report focus 리포트 작성 목적 합병법인에 대한 투자의견과 목표주가 제시 Cash cow 제품, 턴어라운드 제품, 미래 성장스토리 제품, 시너지효 과 등을 분석 대표적 소재/에너지 기업으로 도약함에 따라 글로벌 경쟁업체들과의 valuation 비

Company report focus 리포트 작성 목적 합병법인에 대한 투자의견과 목표주가 제시 Cash cow 제품, 턴어라운드 제품, 미래 성장스토리 제품, 시너지효 과 등을 분석 대표적 소재/에너지 기업으로 도약함에 따라 글로벌 경쟁업체들과의 valuation 비 기업분석 In-depth / 전자부품 2014. 7. 15 매수(유지) 목표주가: 200,000원(유지) Stock Data KOSPI(7/14) 1,994 주가(7/14) 172,000 시가총액(십억원) 11,828 발행주식수(백만) 69 52주 최고/최저가(원) 198,500/139,000 일평균거래대금(6개월, 백만원) 46,737 유동주식비율/외국인지분율(%)

More information

슬라이드 1

슬라이드 1 강력한성능! 인터넷 / 업무용데스크탑 PC NX-H Series Desktop PC NX1- H700/H800/H900 NX2- H700/H800/H900 NX1-H Series 사양 Series 제품설명 ( 모델명 ) NX1-H Series, 슬림타입 기본형모델중보급형모델고급형모델 NX1-H800:112SN NX1-H800:324SN NX1-H800:534MS

More information