Microsoft PowerPoint - CH6.ppt [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - CH6.ppt [호환 모드]"

Transcription

1 II. VHDL 설계부 4 장. VHDL 개요 5 장. VHDL 설계구성 7 장. VHDL 모델링 8 장. VHDL 구문과예제 - 1 -

2 어휘요소 (Lexical Elements) 식별자, 리터럴, 예약어, 구분자로구분되어지며, 식별자와예약어의경우대소문자의구별이없다. 객체 (Objects) 류가있다. 데이터를저장하기위한기억장소를나타내며, 상수 / 변수 ( 파일 )/ 신호의종류가있다. 타입 (Type) 속성 (Attribute) 연산자 (Operator) 객체들의데이터유형을선언하여값의종류와특성을정의한다. 객체의추가정보및상태를표현한다. 정의된속성과사용자정의속성으로구분된다. 연산문에서사용되는연산기호로써, 피연산자를입력으로결과를출력하는함수의역할을수행한다. 서브프로그램 반복되는연산과정및수행절차를간소화하기위한표현방법으로써, 연산 (Subprogram) 계산과정은함수로나타내며수행절차과정은프로시쥬어로기술한다

3 어휘요소 (Lexical Elements) 어휘요소의종류 식별자 (Identifier), 리터럴 (Literal), 예약어 (Reserved Word), 구분자 (Delimiter) 대소문자에대한구별이없다. VHDL, Vhdl, vhdl : 모두동일한이름으로간주된다. VHDL 93 의경우 Extended_Identifier 는대소문자구별이가능하다. 주석 (comment) 는 Double Dash(--) 로표시되며, 한줄을주석화한다. 선언 / 문장단위는 Semicolon(;) 으로구분된다. 모든선언들은지역적 (Local) 유효영역 (Scope) 을갖는다

4 Identifier Extended Identifier Reserved Word Delimiter D_FF /VHDL/ ENTITY := U123 /vhdl/ OF <= pentium /Vhdl/ END ; Decimal Character Physical Based Literal Literal Literal Literal 123 A 2e-2 # 12.34_56 a Bit String Literal String Literal 30 ns 2#1011#E2 100 pf 16#EF.0A# B 1010_0101 X ABCDEF O 7654_3210 Warning!! - 4 -

5 객체 (Objects) 데이터를저장하기위한기억장소 객체의분류 상수 (Constant) 고정된데이터를기록하는객체로병행문 / 순차문에서사용가능하다. 변수 (Variable) 가변적인데이터를기록하는객체로순차문에서만사용가능하며, 여러프로세스에서공유할수없다. Shared Variable의경우여러프로세스에서공유할수있으나, 값의변화에대한처리방법은정하지않았다. 다수의프로세스에서순차적으로사용하도록설계해야한다. 파일 (File) 파일처리를위한변수객체이다. 신호 (Signal) 시간에따른데이터의변화를나타낼수있는객체로병행문 / 순차문에서사용가능하다

6 Object Constant Signal Variable File 데이터변경타이밍정보 X O O O X O X X 선언영역 Entity Architecture Package Package Body Block Process Subprogram Entity Architecture Package Block Process Subprogram Entity Architecture Package Package Body Block Process Subprogram - 6 -

7 객체 VHDL 표현 상수선언 (Constant Declaration) CONSTANT con : bit := 0 ; 초기값을설정해주어야한다. 초기값이없는상수선언은 deferred constant로구분된다. 패키지선언에서만가능하며, 초기값은패키지몸체에서정의한다. 변수선언 (Variable Declaration) VARIABLE var : bit ; VARIABLE temp, reg : integer := 100; SHARED VARIABLE stemp, sreg : integer := 100; 초기값이없는경우 default value로설정된다. Default Value 해당타입의최소값을나타낸다. (type_name LEFT) Shared Variable의선언형식은변수선언과동일하다

8 파일선언 (File Declaration) FILE input : text OPEN read_mode IS STD_INPUT ; READ_MODE/WRITE_MODE/APPEND_MODE 형식으로파일을처리한다. 신호선언 (Signal Declaration) SIGNAL sig : bit_vector(7 DOWNTO 0) := ; 초기값이없는경우 default value 로설정된다

9 포트 (Port) 및신호 (Signal) 포트의특성 외부와의연결통로 / 핀의개념을갖는다. 신호객체로분류된다. 포트의방향성 (Mode) 입출력방향을정의한다. IN : 외부에서포트로입력된다. OUT : 포트에서외부로출력된다. INOUT : 외부에서포트로입출력된다. BUFFER : 포트에서외부로출력되며, 내부에서포트의값을참조할수있다. LINKAGE : VHDL 이외의설계데이터와연결할경우에사용된다. 입력모드 (IN) 출력모드 (OUT) 입출력모드 (INOUT) 버퍼모드 (BUFFER) - 9 -

10 포트및신호 신호구동메커니즘 구동기 (Driver) 시뮬레이션과정에서신호객체를모델링하기위하여도입된개념 구동기는지연되는시간동안신호의예상출력결과를유지하기위한객체이다. 구동기는신호의데이터를갱신하는프로세스마다독립적으로관리되며, 시뮬레이션관리자 (Kernel) 가개별적인구동기들을중재하여신호의데이터를변경한다. 각각의프로세스및신호에서발생되는출력예정파형 (Projected Output Waveform) 이다. 시뮬레이션에서의신호구동메커니즘 Processes 1 Di Drivers 1 Resolution Signal Event Processes function Signal 1 S t ƒ S E S t-1 N N M 스케쥴링 스케줄링 t

11 신호의종류 분해신호 (Resolved Signal) 여러개의입력신호들과연결되어있을때신호의값을결정할수있는다. 드라이버들에대한중재방법이정의된신호 기능을갖고있 감시대상신호 (Guarded d Signal) GUARD 조건을만족하는경우만감시대상신호의값을갱신할수있으며, 조건을만족하지못한경우에는신호의값을갱신할수없다. 연결이해제되었을경우에대한처리방법이정의된신호블록문에서만사용가능하다

12 포트및신호 분해신호의정의 분해기능은분해함수 (Resolution Function) 을이용하여정의한다. 신호선언문에분해기능을정의한다. 분해기능을타입에추가한종속타입으로써정의된다. 분해함수의형태 입력구동기들은 1 차원배열형이며출력은배열형의인자 (Element) 로정의 분해신호를위한지원타입은 STD_LOGIC/STD_LOGIC_VECTOR 가있다. 분해신호의모델 Driver A Resolved Signal Driver B Driver C Resolution Function

13 분해신호의선언 FUNCTION resolved d( ( s : std_ulogic_vector t ) RETURN std_ulogic; -- resolution function 선언 SUBTYPE std_logic IS resolved std_ulogic; -- resolved type 선언 SIGNAL resol1 : resolved std_ulogic; -- resolution function을이용한 resolved signal 선언 SIGNAL resol2 : std_logic; -- resolved type을이용한 resolved signal 선언

14 포트및신호 감시대상신호의정의 신호선언문에 BUS 나 REGISTER 로신호종류를정의한다. 감시대상신호의분류 Register Register 종류신호의모든구동기리스트들이연결해제된경우에는분해함수 (Resolution Function) 가호출되지않는다. 모든연결이해제된경우에이전값을유지하는레지스터개념이다. Bus Bus 종류신호의모든구동기리스트들이연결해제된경우에는분해함수 (Resolution Function) 가호출되어결정한값을신호의값으로반영한다. 모든연결이해제된경우에상태를알수없는 3-state 버퍼의개념이다. Bus 의상태를알수없는 High Impedance 를방지하기위하여 Pull-up/Pull-down 개념을분해함수를통해서표현한다. GUARD resolution function D IE Q guarded signal GUARD guarded signal register REGISTER signal BUS signal resolution function

15 감시대상신호의선언 Port : Bus 만사용할수있다. in1, in2 :INstd std_logic BUS := 0 ; Signal : Bus/Register 를사용할수있다. SIGNAL reg1, reg2 : std_logic BUS := 0 ; SIGNAL reg3, reg4 : std_logic REGISTER := 0 ;

16 타입 (Types) 모든객체의데이터유형을엄격하게선언한다. 값의종류및특성 (eg. 범위, 색인범위, 종속관계 ) 등을정의한다. 타입의종류 basetype ( 기본타입 ) Type subtype ( 종속타입 ) Scalar Composite File Access Enumeration Physical Integer Universal_integer Real Universal_real Array Record Text Line VitalTimeArrayType Bit Boolean Severity_level Side std_logic VitalTransitionType iti T VitalTableSymbolType Time Positive Natural a String Bit_vector Std_ulogic_vector Std_logic_vector VitalDelayArrayType VitalPeriodDataType VitalPathType std_logic X01 X01Z UX01 UX01Z VitalDelayType Width VitalOutputMapType 유형분류내재된선언 Standard Pkg. 정의 Textio Pkg. 정의 Std_logic_1164 Pkg. 정의 Vital_Timing Pkg. 정의

17 타입의선언 사용가능한선언지역 구성선언 (Configuration Declaration) 을제외한모든선언영역 VHDL 표현정수형 TYPE integer IS RANGE TO ; 실수형 TYPE real IS RANGE -1.0E38 TO 1.0E38 ; 열거형 TYPE bit IS ( 0, 1 ); 물리형 TYPE resistance IS RANGE 1 TO 1E10 UNITS ohm; kohm = 1000 ohm; mohm = 1000 kohm; END UNITS; 레코드형 TYPE date IS RECORD hour : integer RANGE 0 TO 23; min : integer RANGE 0 TO 59; sec : integer RANGE 0 TO 59; END RECORD; 배열형 TYPE memory IS ARRAY (0 TO 255, 7 DOWNTO 0) OF bit; TYPE bit_vector IS ARRAY( natural RANGE <>) OF bit; 참조형 TYPE pointer IS ACCESS integer; 파일형 TYPE bit_file IS FILE OF bit;

18 종속타입 (subtype) 의선언 이미선언된기본타입 (basetype) 을이용하여새로운타입을정의한다. 기본타입에대한분해함수 (resolution function) 기능을추가할수있다. 참조 (Access) 타입과파일 (File) 타입은제외 종속타입의구분 Unconstrained Subtype 기본타입의특성을그대로유지한다. Constrained Subtype 기본타입에대한제약정보를추가한다. 사용가능한선언지역 구성선언 (Configuration Declaration) 을제외한모든선언영역 VHDL 표현 SUBTYPE int IS integer ; -- unconstrained subtype SUBTYPE float IS real RANGE -1.0E10 TO 1.0E10 ; -- constrained range SUBTYPE std_logic IS resolved std_ulogic; -- resolved subtype SUBTYPE eight_bits_vector IS bit_vector(7 DOWNTO 0); -- constrained index-range

19 타입의이용 이름표기법 단순이름 (simple name) 식별자를이용한객체지칭방법 VARIABLE simple : integer ; simple := 100 ; 선택이름 (selected name) 레코드의인자를나타내기위한객체지칭방법 VARIABLE selected : date ; selected.hour dh := 10 ; 색인이름 (indexed name) 배열의인자 (element) 를나타내기위한객체지칭방법

20 슬라이스이름 (slice name) 1 차원배열에서연속된인자들의열 (sequence) 을나타내기위한객체지칭방법 SIGNAL indexed, slice : bit_vector(7 DOWNTO 0 ); indexed(0) := 0 ; -- 색인이름 slice(3 DOWNTO 0) := 0001 ; -- 슬라이스이름 속성이름 (attribute name) 객체의속성을나타내기위한지칭방법 SIGNAL reg : std_logic ; SIGNAL target : boolean ; target <= reg EVENT ;

21 특별한타입들 ( 합성대상설계에서는사용불가!!) 참조형 (Access) 소프트웨어개발언어의포인터와같은개념을표현한다. 동적메모리할당을위하여할당함수 new 를사용한다. 참조타입을선언하면할당된메모리를소멸하는 Deallocate 프로시저가자동적으로선언된다. 합성되지않는소프트웨어모델링방법이다. TYPE int IS ACCESS integer ; VARIABLE start : int := NEW integer (100); -- start : 100 VARIABLE finish : int = start; -- start : 100, finish : start s value = 100 Deallocate(start); -- start : null, finish : start s value = null

22 파일형 (File) 외부환경과의입출력을위해서사용된다. 합성되지않는소프트웨어모델링방법이다. 파일타입을선언하면해당파일타입의 FILE_OPEN/FILE_CLOSE/READ/WRITE 프로시저와 ENDFILE 함수가자동선언된다. (LRM 정의 ) 합성되지않는소프트웨어모델링방법이다. 시뮬레이션입력데이터및출력결과저장등에이용된다. TYPE text IS FILE OF line ; FILE fptr : text OPEN read_mode IS stimulus.dat ; VARIABLE buffer : line; -- 파일의데이터를저장하기위한변수선언 Read(fptr, buffer); -- fptr 파일의데이터를읽어서 buffer 에저장함

23 특별한타입들 ( 합성대상설계에서는사용불가!!) 미정의형 (Incomplete Type) 이름만타입으로선언하며, 이에대한제약정보 ( 값의범위 / 색인범위등 ) 는나중에재선언하여사용한다. 일반적으로참조형과같이사용된다. TYPE cell ; -- incomplete type declaration TYPE link IS ACCESS cell; TYPE cell IS RECORD val : integer; suc : link; pre : link; END RECORD; -- full type declaration VARIABLE head : link := NEW cell (0, NULL, NULL); VARIABLE next_ node : link := head.suc; ;

24 속성 (Attribute) 객체에대한추가정보및상태를표현한다. 속성의분류 정의된속성 (Pre-defined Attribute) 상수 / 변수 / 범위 / 함수 / 타입유형의정보를표현한다. 모든선언들은정의된속성을내부적으로소유하게된다. 사용자정의속성 (User-defined Attribute) 상수정보를갖는속성을정의할수있다

25 타입에대하여정의된속성 T BASE T 의 base-type 을나타낸다. T LEFT T 의왼쪽경계 (left bound) 를나타낸다. T RIGHT T 의오른쪽경계 (right bound) 를나타낸다. T HIGH T 의가장큰값즉위쪽경계 (high bound) 를나타낸다. T LOW T의가장작은값즉아래쪽경계 (low bound) 를나타낸다. T POS(X) T 에서 X 의위치를값으로나타낸다. T VAL(X) T 에서 X 로주어진위치에있는값을나타낸다. T SUCC(X) T에서 X보다하나큰위치에있는값을나타낸다. T PRED(X) T 에서 X 보다하나작은위치에있는값을나타낸다. T LEFTOF(X) T 에서 X 보다하나왼쪽에있는값을나타낸다. T RIGHTOF(X) T 에서 X보다하나오른쪽에있는값을나타낸다. 타입속성의예 TYPE nine IS ( 0, 1, X, Z, -, H, L, T, F ); SUBTYPE t IS nine; t BASE : nine 타입 t BASE LEFT : nine 타입의 0 리터럴 nine POS( X ) : integer 3 nine VAL(9) : nine 타입의 F 리터럴

26 속성 배열형객체에대하여정의된속성 다차원배열의경우합성이지원되지않는툴들이많다. 배열형의상수 / 신호 / 변수모두배열형에정의된속성을사용할수있다. 가변적인 Bit Size 인경우 LENGTH 속성을사용하면재설계의부담을덜수있다. A LEFT[(N)] A RIGHT[(N)] A HIGH[(N)] A LOW[(N)] A RANGE[(N)] A REVERSE_RANGE[(N)] A LENGTH[(N)] N은선택적이며없을경우 (default) 에는 1로간주이속성은 N번째색인의왼쪽배열경계를표현한다 N 은선택적이며없을경우 (default) 에는 1 로간주이속성은 N 번째색인의오른쪽배열경계를표현한다. N 은선택적이며없을경우 (default) 에는 1 로간주이속성은 N 번째색인의가장큰배열경계를표현한다. N은선택적이며없을경우 (default) 에는 1로간주이속성은 N 번째색인의가장작은배열경계를표현한다. N 은선택적이며없을경우 (default) 에는 1 로간주이속성은 N 번째색인의범위를표현한다. N 은선택적이며없을경우 (default) 에는 1 로간주이속성은 N번째색인범위의역을표현한다. N 은선택적이며없을경우 (default) 에는 1 로간주이속성은 N 번째색인에있는값의수를표현한다 * [(N)] : (N) 은생략가능함

27 배열속성의예 VARIABLE reg : bit_vector(7 DOWNTO 0); sum LEFT(1) : 차원색인의 Left 경계값 sum RANGE : 7 DOWNTO 차원색인범위 sum REVERSE_RANGE : 0 TO 차원색인범위의반전 sum LENGTH : 차원색인구간의길이

28 속성 신호에대하여정의된속성 DELAYED/STABLE/QUIET/TRANSACTION 속성은신호객체이다. 나머지신호속성은함수이다. S DELAYED[(T)] S STABLE[(T)] Time 타입을가지는 T 가없을경우에는 0ns 로간주 S 가시간 T 만큼지연된신호가되도록나타낸다 Time 타입을가지는 T 가없을경우에는 0ns 로간주 Event 가발생한후 T 시간동안 FALSE 가되고, 이후시간에는 TRUE 를표시. S QUIET[(T)] Time 타입을가지는 T 는경우에는 0ns 로간주 Active 가발생한후 T 시간동안 FALSE 가되고, 이후시간에는 TRUE 를표시. S TRANSACTION Bit 형으로서 Active 가일어날때마다결과가반전된다. S EVENT Event 가있으면 TRUE, 아니면 FALSE 를표시한다. S ACTIVE 현재의시뮬레이션사이클동안에갱신의유무를나타낸다. S LAST_ EVENT 마지막으로 Event가발생한이후경과한시간을나타낸다. S LAST_ACTIVE 마지막으로트랜잭션이일어난이후경과한시간을나타낸다 S LAST_VALUE S 의값이마지막으로변하기이전값을나타낸다

29 Active 새로운값으로갱신되는경우를의미한다. 값의변화가없어도해당된다. Event 새로운값으로갱신되어값의변화가발생된경우를의미한다

30 속성 신호에대하여정의된속성 신호속성의예 10, 30, 50 ns 에새로운값이신호 S 에입력된경우 Signal S S'EVENT S'STABLE S'ACTIVE s <= 0, 0 AFTER 10 ns, 1 AFTER 30 ns, 0 AFTER 50 ns ; S'DELAYED(5 ns) S'TRANSACTION S'QUIET(5 ns) S'LAST_VALUE 10 ns 30 ns 50 ns 70 ns S'LAST_EVENT S'LAST_ACTIVE

31 사용자정의속성 속성선언 (Attribute Declaration) 사용자가새로운속성을선언한다. 속성의객체특성은상수만가능하다. ATTRIBUTE instance : integer ; 속성명세 (Attribute Specification) 사용자가정의한속성을특정객체에게첨가한다. 속성을부여받을수있는객체들의종류 Entity / Architecture / Configuration / Package Procedure / Function / Type / Subtype Constant / Signal / Variable / Component Label / Literal / Units / File / Group ATTRIBUTE instance OF d_ff : ARCHITECTURE IS 255; d_ff instance -- integer 타입의 255 를의미한다

32 서브프로그램 (Subprogram) 절차함수 (Procedure) 순차적처리흐름을기술한다. IN 모드의파라메터는내부상수로간주한다. BUFFER/INOUT 모드의파라메터는내부변수로간주한다. 연산함수 (Function) 연산과정을기술한다. 모든파라메터가 IN 모드로동작한다. 출력값은 RETURN 문을통하여전달된다

33 서브프로그램선언및서브프로그램몸체선언 FUNCTION "NOT" ( l : std_ulogic ) RETURN ux01; -- 입력은 std_ulogic, 출력은 ux01 타입을갖는함수 PROCEDURE proc (l : IN std_uligic ; r : OUT std_ulogic); -- 서브프로그램선언 FUNCTION "NOT" ( l : std_ulogic ) RETURN ux01 IS BEGIN RETURN (not _ table(l ( )) ; -- 함수에는 Return 문이있어야한다. END "NOT"; PROCEDURE proc (l : IN std_uligic ; r : OUT std_ulogic ) IS BEGIN r := l ; END proc; -- 서브프로그램몸체선언

34 서브프로그램 서브프로그램의호출 서브프로그램을사용하는경우정의된객체, 입출력종류및타입종류에일치해야한다. (signature 정보 ) 서브프로그램의경우는다중정의가가능하다. 동일한이름을갖으면서서로다른타입의파라메터를사용하는서브프로그램 들이선언될수있다. 서브프로그램의다중정의 (Overloading) 동일한이름의두서브프로그램을선언할수있다. 다중정의된서브프로그램은서로다른 signature 정보를가져야한다. 동일한 signature 정보를갖는경우는중복오류에해당된다. 타입이기본타입과종속타입관계이면동일한타입으로간주된다. 연산자 (Operator) 의경우도다중정의가가능하다. Signature 정보 파라메터의갯수및위치, 이름 파라메터의타입 함수의경우는결과타입

35 다중정의의예 FUNCTION "NOT" ( l : std_ulogic ) RETURN std_ulogic ; -- 1 FUNCTION "NOT" ( l : bit ) RETURN bit ; -- 2 PROCEDURE proc (l : IN std_ulogic ; r : OUT std_ulogic ) ; -- 3 PROCEDURE proc (l : IN bit ; r : OUT bit ) ; -- 4 VARIABLE a, b, c : std_ulogic; b := NOT a; proc(b, c); -- 1 연산자호출 -- 3 프로시저호출

36 연산자 (Operator) 기본연산자종류 구분 종류 우선순위 논리연산자 and, or, nand, nor, xor 6 관계연산자 =, /=, <, <=, >, >= 5 가감산연산자 +, -, & 4 부호 +, - 3 승제산연산자 *, /, mod, rem 2 기타연산자 **, abs, not 1 추가 VHDL 연산자 (VHDL 93) 논리연산자 xnor 연산자가추가되었다. Shift 연산자우선순위는논리연산자보다는높고관계연산자보다는낮다. SLL SRL SLA SRA ROL ROR --Shift Left Logical --Shift Right Logical --Shift Left Arithmetic --Shift Right Arithmetic --Rotate Left Logical --Rotate Right Logical

37 연산자의특성 우결합법칙 같은순위를가진연산자들인경우왼쪽에서오른쪽의순으로수행된다. z := a + b + c ; -- (1) a+b (2) (1) 의결과 + c 우선순위를바꾸고자하는경우괄호를사용된다. z := a + (b + c) ; -- (1) b + c (2) a + (1) 의결과

38 연산자 연산자의특성 +, - 는가감산연산자와부호로사용된다. z := (-a) - b ; -- (1) -a : 부호 (2) - b : 가감산연산자 NOT 은논리연산자이지만우선순위가다른연산자보다높다. z := a XOR NOT b ; -- (1) not b (2) a xor (1) 의결과 논리연산자의경우두피연산자의타입이같아야하며, 연속적인사용에제한이있으므로괄호를사용해야한다. z := a AND b AND c ; -- illegal z := ( a AND b ) AND c ; -- legal

39 AND, OR, NAND, NOR 는 Short Circuit 연산을수행한다. z := a AND b; -- a 가 0 0 인경우 b 값에상관없이결과는 0 0 이다. -- 이와같이왼쪽에서오른쪽순으로처리가진행됨에따라서 -- 왼쪽피연산자만으로결과가결정되는연산을 Short Circuit -- 연산이라한다. b='1' AND XOR XOR a='0' a='0' z='0' a='0' a='0' a='0' z='1' Short Circuit 연산 일반연산 연산자의다중정의에따라서피연산자의타입에의한다른연산함수의호출이발생할수있다. 입력 b가 X 일경우결과가다르다

40 분해함수 (Resolution Function) 분해함수의특징 다수의입력신호에대한결과값을결정하기위하여정의한다. 입력은 1 차원무제한배열형만가능하다. 출력은배열형요소 (Element) 의타입으로부터결정된다. 시뮬레이션주기 (Cycle) 에서내부적으로해당신호가활성 (Active) 되면자동으로호출되어신호의값을갱신과정에사용된다

41 분해함수의예 FUNCTION wired_or (inputs : bit_vector ) RETURN bit IS CONSTANT floatvalue : bit = 0 ; 0; BEGIN IF inputs LENGTH = 0 THEN -- THIS IS A BUS WHOSE ALL DRIVERS ARE OFF RETURN floatvalue ; ELSE FOR i IN inputs RANGE LOOP IF inputs(i) = 1 THEN END IF; END wired_or ; END IF; END LOOP; RETURN 0 ; RETURN 1 ; 1; 입력이존재하지않을경우는 floatvalue 를출력한다. 다수입력의경우는입력값중에서하나만이라도 1 이면 1 을출력한다. 모든입력이 0 인경우는 0 을출력한다

42 분해함수의예 SIGNAL line: wired_or bit; BEGIN P1: PROCESS BEGIN line <= 1 ; 1; END PROCESS; P2: PROCESS BEGIN line <= 0 ; END PROCESS; END Example ;

43 단축이름달기 (Alias Declaration: VHDL 93) 객체단축이름 VARIABLE data : bit_vector( ( 0TO 31); ALIAS mantissa : bit_vector(23 DOWNTO 0) IS data( 8 TO 31); mantissa := ; -- data(8 TO 31) := ( 동일표현 ) 비객체단축이름 ALIAS std_bit IS std.standard.bit ; VARIABLE reg : std_bit := 1 ; -- VARIABLE reg : bit := 1 ( 동일표현 )

44 그룹 (Group : VHDL 93) 특성한객체들의집합을간편하게기술하기위해서이용한다. 그룹예제선언 (Group Template Declaration) 그룹을형성할수있는객체들의종류 Entity /Architecture /Configuration i /Package /Procedure /Function / Type / Subtype / Constant / Signal / Variable / Component Label / Literal / Units / File / Group GROUP pin2pin IS (SIGNAL, SIGNAL) ; 그룹선언 (Group Declaration) GROUP c2q : pin2pin (clk, dout ) ;

45 선언영역 (Declarative Region) 선언영역의종류 설계단위 (Design Unit) 블록문 / 프로세스문 / 생성문 컴포넌트선언 / 레코드타입선언 선언영역및가시성 서브프로그램선언및서브프로그램몸체 반복제어문 선언영역의의미 타입및객체, 속성등의선언이가능하다. 선언된내용에대한유효범위를형성하게된다. 선언영역은하위선언영역을내포할수있다

46 선언영역및가시성 Declarative Region Entity Package Configuration Architecture body Package body Block Statement Process Statement Component Declaration Generate Statement Use use entity/configuration block configuration Subprogram Declaration Subprogram Body hierarchical Region Loop Statement Record Type Declaration

47 선언영역 선언영역의확장 Default 확장 선언영역및가시성 상위선언영역에내포된하위선언영역은유효범위를상위선언영역까지확장한다. 현재선언영역을내포한모든상위선언영역은선언영역으로확장된다. USE 문에의한확장 USE 문을사용한패키지확장 USE 문사용가능지역상위선언지역이이용한패키지는자동으로하위지역으로도확장된다. 패키지선언 / 엔티티선언 / 구성선언 / 패키지몸체 / 아키텍쳐몸체 / 블록문 / 프로세스문

48 Binding 문에의한확장 선언영역및가시성 USE ENTITY/CONFIGURATION문일사용한확장해당하위설계단위인엔티티 ( 아키텍쳐 ) 및구성선언의 Port와 Generic을 이용할수있다. 블록구성문 / 컴포넌트구성문을이용한확장구성선언의경우블록구성문 / 컴포넌트구성문을이용하여하위설계단위의 아키텍쳐몸체 / 블록문 / 생성문들이용할수있다. Nested 된확장 동일한구조의선언영역이내재적으로선언된경우 Nested될수있는선언영역블록문 / 생성문 / 반복문 / 서브프로그램

49 가시성 (Visibility) 가시성의의미 선언영역및가시성 선언내용이참조가능한유효범위안에위치하고있음을의미한다. 동형이의어 (Homograph) 계층적선언영역의경우내 / 외부선언영역에서동일한이름의선언이 가능하다. 내부선언영역의선언은직접참조가능하며, 외부선언은 hidden되어진다. hidden된선언을참조하려는경우는선택적이름을통해서해결한다

50 선언영역및가시성 -- full adder : behavioral description LIBRARY ieee; USE ieee.std_logic_1164.all; Visible by selection 선언지역의유효범위 ENTITY f_addr_beh IS PORT( in1, in2, carry_in : IN std_logic ; carry_out, sum_out : OUT std_logic); END f_addr_beh; 선언지역 ARCHITECTURE f_addr_beh_a OF f_addr_beh IS BEGIN p: PROCESS( in1, in2, carry_in ) VARIABLE data_in : std_logic_vector( 2 DOWNTO 0); VARIABLE cnt : integer; directly visible BEGIN ( 프로세스변수 ) cnt := 0; data_in := in1 & in2 & carry_in ; FOR cnt N 0 TO 2 LOOP IF data_in(cnt) = '1' THEN p.cnt := p.cnt + 1; END IF; END LOOP; CASE cnt IS WHEN 0 => carry_out <= '0'; sum_out <= '0'; WHEN 1 => carry_out <= '0'; sum_out <= '1'; WHEN 2 => carry_out <= '1'; sum_out <= '0'; WHEN OTHERS => carry_out <= '1'; sum_out <= '1'; END CASE; END PROCESS; END f_addr_beh_a ; homograph 발생 directly visible ( 반복문변수 ) visible by selection ( 프로세스변수 ) homograph 소멸 directly visible ( 프로세스변수 ) 선언지역선언지역선언지역

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드] VHDL 프로그래밍 1. 문법기초 - 간단한조합회로및문법 학습목표 VHDL 기술과소프트웨어와차이파악 Signal assignment 의의미파악 Architecture body 의개념파악 Entity declaration 의개념파악 Process 문의사용법 Variable 과 signal 의차이파악 Library, Use, Package 의사용법 2/53 간단한논리회로예제

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

chap 5: Trees

chap 5: Trees 5. Threaded Binary Tree 기본개념 n 개의노드를갖는이진트리에는 2n 개의링크가존재 2n 개의링크중에 n + 1 개의링크값은 null Null 링크를다른노드에대한포인터로대체 Threads Thread 의이용 ptr left_child = NULL 일경우, ptr left_child 를 ptr 의 inorder predecessor 를가리키도록변경

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Function) 1. 함수의개념 입력에대해적절한출력을발생시켜주는것 내가 ( 프로그래머 ) 작성한명령문을연산, 처리, 실행해주는부분 ( 모듈 ) 자체적으로실행되지않으며,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

Microsoft PowerPoint - ch07 - 포인터 pm0415

Microsoft PowerPoint - ch07 - 포인터 pm0415 2015-1 프로그래밍언어 7. 포인터 (Pointer), 동적메모리할당 2015 년 4 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) Outline 포인터 (pointer) 란? 간접참조연산자

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

제4장 기본 의미구조 (Basic Semantics)

제4장  기본 의미구조 (Basic Semantics) 제 4 장블록및유효범위 Reading Chap. 5 숙대창병모 1 4.1 변수선언및유효범위 숙대창병모 2 변수선언과유효범위 변수선언 Declaration before Use! 대부분의언어에서변수는사용전에먼저선언해야한다. 변수의유효범위 (scope) 선언된변수가유효한 ( 사용될수있는 ) 프로그램내의범위 / 영역 변수이름뿐아니라함수등다른이름도생각해야한다. 정적유효범위

More information

JAVA PROGRAMMING 실습 08.다형성

JAVA PROGRAMMING 실습 08.다형성 2015 학년도 2 학기 1. 추상메소드 선언은되어있으나코드구현되어있지않은메소드 abstract 키워드사용 메소드타입, 이름, 매개변수리스트만선언 public abstract String getname(); public abstract void setname(string s); 2. 추상클래스 abstract 키워드로선언한클래스 종류 추상메소드를포함하는클래스

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft PowerPoint - e pptx

Microsoft PowerPoint - e pptx Import/Export Data Using VBA Objectives Referencing Excel Cells in VBA Importing Data from Excel to VBA Using VBA to Modify Contents of Cells 새서브프로시저작성하기 프로시저실행하고결과확인하기 VBA 코드이해하기 Referencing Excel Cells

More information

PowerPoint Presentation

PowerPoint Presentation Class - Property Jo, Heeseung 목차 section 1 클래스의일반구조 section 2 클래스선언 section 3 객체의생성 section 4 멤버변수 4-1 객체변수 4-2 클래스변수 4-3 종단 (final) 변수 4-4 멤버변수접근방법 section 5 멤버변수접근한정자 5-1 public 5-2 private 5-3 한정자없음

More information

Microsoft PowerPoint - chap03-변수와데이터형.pptx

Microsoft PowerPoint - chap03-변수와데이터형.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num %d\n", num); return 0; } 1 학습목표 의 개념에 대해 알아본다.

More information

Microsoft PowerPoint - lec2.ppt

Microsoft PowerPoint - lec2.ppt 2008 학년도 1 학기 상지대학교컴퓨터정보공학부 고광만 강의내용 어휘구조 토큰 주석 자료형기본자료형 참조형배열, 열거형 2 어휘 (lexicon) 어휘구조와자료형 프로그램을구성하는최소기본단위토큰 (token) 이라부름문법적으로의미있는최소의단위컴파일과정의어휘분석단계에서처리 자료형 자료객체가갖는형 구조, 개념, 값, 연산자를정의 3 토큰 (token) 정의문법적으로의미있는최소의단위예,

More information

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt 변수와상수 1 변수란무엇인가? 변수 : 정보 (data) 를저장하는컴퓨터내의특정위치 ( 임시저장공간 ) 메모리, register 메모리주소 101 번지 102 번지 변수의크기에따라 주로 byte 단위 메모리 2 기본적인변수형및변수의크기 변수의크기 해당컴퓨터에서는항상일정 컴퓨터마다다를수있음 short

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 (   ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각 JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( http://java.sun.com/javase/6/docs/api ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각선의길이를계산하는메소드들을작성하라. 직사각형의가로와세로의길이는주어진다. 대각선의길이는 Math클래스의적절한메소드를이용하여구하라.

More information

<342EBAAFBCF620B9D720B9D9C0CEB5F92E687770>

<342EBAAFBCF620B9D720B9D9C0CEB5F92E687770> 예약어(reserved word) : 프로그래밍 언어에서 특별한 용도로 사용하고자 미리 지정한 단어 - 프로그램의 구성요소를 구별하게 해주는 역할 => 라벨, 서브 프로그램 이름, 변수에 연관되어 다른 변수나 서브 프로그램 등과 구별 - 식별자의 최대길이는 언어마다 각각 다르며 허용길이를 넘어서면 나머지 문자열은 무시됨 - FORTRAN, COBOL, HTML

More information

Microsoft PowerPoint - additional01.ppt [호환 모드]

Microsoft PowerPoint - additional01.ppt [호환 모드] 1.C 기반의 C++ part 1 함수 오버로딩 (overloading) 디폴트매개변수 (default parameter) 인-라인함수 (in-line function) 이름공간 (namespace) Jong Hyuk Park 함수 Jong Hyuk Park 함수오버로딩 (overloading) 함수오버로딩 (function overloading) C++ 언어에서는같은이름을가진여러개의함수를정의가능

More information

Microsoft Word - FunctionCall

Microsoft Word - FunctionCall Function all Mechanism /* Simple Program */ #define get_int() IN KEYOARD #define put_int(val) LD A val \ OUT MONITOR int add_two(int a, int b) { int tmp; tmp = a+b; return tmp; } local auto variable stack

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

<C6F7C6AEB6F5B1B3C0E72E687770>

<C6F7C6AEB6F5B1B3C0E72E687770> 1-1. 포트란 언어의 역사 1 1-2. 포트란 언어의 실행 단계 1 1-3. 문제해결의 순서 2 1-4. Overview of Fortran 2 1-5. Use of Columns in Fortran 3 1-6. INTEGER, REAL, and CHARACTER Data Types 4 1-7. Arithmetic Expressions 4 1-8. 포트란에서의

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조 - Part2- 제 2 장다차원배열이란무엇인가 학습목차 2.1 다차원배열이란 2. 2 2 차원배열의주소와값의참조 2.1 다차원배열이란 2.1 다차원배열이란 (1/14) 다차원배열 : 2 차원이상의배열을의미 1 차원배열과다차원배열의비교 1 차원배열 int array [12] 행 2 차원배열 int array [4][3] 행 열 3 차원배열 int array [2][2][3]

More information

Microsoft PowerPoint 자바-기본문법(Ch2).pptx

Microsoft PowerPoint 자바-기본문법(Ch2).pptx 자바기본문법 1. 기본사항 2. 자료형 3. 변수와상수 4. 연산자 1 주석 (Comments) 이해를돕기위한설명문 종류 // /* */ /** */ 활용예 javadoc HelloApplication.java 2 주석 (Comments) /* File name: HelloApplication.java Created by: Jung Created on: March

More information

11장 포인터

11장 포인터 누구나즐기는 C 언어콘서트 제 9 장포인터 이번장에서학습할내용 포인터이란? 변수의주소 포인터의선언 간접참조연산자 포인터연산 포인터와배열 포인터와함수 이번장에서는포인터의기초적인지식을학습한다. 포인터란? 포인터 (pointer): 주소를가지고있는변수 메모리의구조 변수는메모리에저장된다. 메모리는바이트단위로액세스된다. 첫번째바이트의주소는 0, 두번째바이트는 1, 변수와메모리

More information

Microsoft PowerPoint - a10.ppt [호환 모드]

Microsoft PowerPoint - a10.ppt [호환 모드] Structure Chapter 10: Structures t and Macros Structure 관련된변수들의그룹으로이루어진자료구조 template, pattern field structure를구성하는변수 (cf) C언어의 struct 프로그램의 structure 접근 entire structure 또는 individual fields Structure는

More information

Microsoft PowerPoint - VHDL06.ppt [호환 모드]

Microsoft PowerPoint - VHDL06.ppt [호환 모드] VHDL 프로그래밍 6. 부프로그램과패키지 한동일 학습목표 부프로그램의종류와차이점과활용방법에대해서배운다. 함수를정의하고호출하는방법을배운다. 프로시저를정의하고호출하는방법을배운다. 부프로그램오버로딩의개념을이해한다. 패키지의사용목적을배운다. 설계파일, 설계단위, 설계라이브러리의개념을이해한다. VHDL 의라이브러리구조를이해한다. 2/39 부프로그램 (subprogram)

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

PowerPoint Presentation

PowerPoint Presentation Package Class 3 Heeseung Jo 목차 section 1 패키지개요와패키지의사용 section 2 java.lang 패키지의개요 section 3 Object 클래스 section 4 포장 (Wrapper) 클래스 section 5 문자열의개요 section 6 String 클래스 section 7 StringBuffer 클래스 section

More information

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - o8.pptx 메모리보호 (Memory Protection) 메모리보호를위해 page table entry에 protection bit와 valid bit 추가 Protection bits read-write / read-only / executable-only 정의 page 단위의 memory protection 제공 Valid bit (or valid-invalid bit)

More information

Microsoft PowerPoint - [2009] 02.pptx

Microsoft PowerPoint - [2009] 02.pptx 원시데이터유형과연산 원시데이터유형과연산 원시데이터유형과연산 숫자데이터유형 - 숫자데이터유형 원시데이터유형과연산 표준입출력함수 - printf 문 가장기본적인출력함수. (stdio.h) 문법 ) printf( Test printf. a = %d \n, a); printf( %d, %f, %c \n, a, b, c); #include #include

More information

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 제 8 장. 포인터 목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 포인터의개요 포인터란? 주소를변수로다루기위한주소변수 메모리의기억공간을변수로써사용하는것 포인터변수란데이터변수가저장되는주소의값을 변수로취급하기위한변수 C 3 포인터의개요 포인터변수및초기화 * 변수데이터의데이터형과같은데이터형을포인터 변수의데이터형으로선언 일반변수와포인터변수를구별하기위해

More information

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL12_full.ppt [호환 모드] VHDL 프로그래밍 12. 메모리인터페이스회로설계 한동일 학습목표 ROM 의구조를이해하고 VHDL 로구현할수있다. 연산식의구현을위해서 ROM 을활용할수있다. RAM 의구조를이해하고 VHDL 로구현할수있다. FIFO, STACK 등의용도로 RAM 을활용할수있다. ASIC, FPGA 업체에서제공하는메가셀을이용하여원하는스펙의메모리를생성할수있다. SDRAM 의구조를이해한다.

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

A Dynamic Grid Services Deployment Mechanism for On-Demand Resource Provisioning

A Dynamic Grid Services Deployment Mechanism for On-Demand Resource Provisioning C Programming Practice (II) Contents 배열 문자와문자열 구조체 포인터와메모리관리 구조체 2/17 배열 (Array) (1/2) 배열 동일한자료형을가지고있으며같은이름으로참조되는변수들의집합 배열의크기는반드시상수이어야한다. type var_name[size]; 예 ) int myarray[5] 배열의원소는원소의번호를 0 부터시작하는색인을사용

More information

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드] VHDL 프로그래밍 2. VHDL 언어사용해보기 한동일 학습목표 기존프로그래밍언어의간단한예를다룬다. VHDL 언어의간단한예를다룬다. 각언어의실제적인사용예를파악한다. 기존프로그래밍언어와비교되는 VHDL언어의차이점을이해한다. 엔티티선언의의미를파악한다. 아키텍처선언의의미를파악한다. VHDL 언어의문장구조를눈에익힌다. 디지털로직과이의 VHDL 표현과정을이해한다. 2/23

More information

PowerPoint Presentation

PowerPoint Presentation public class SumTest { public static void main(string a1[]) { int a, b, sum; a = Integer.parseInt(a1[0]); b = Integer.parseInt(a1[1]); sum = a + b ; // 두수를더하는부분입니다 System.out.println(" 두수의합은 " + sum +

More information

Microsoft PowerPoint - chap06-5 [호환 모드]

Microsoft PowerPoint - chap06-5 [호환 모드] 2011-1 학기프로그래밍입문 (1) chapter 06-5 참고자료 변수의영역과데이터의전달 박종혁 Tel: 970-6702 Email: jhpark1@seoultech.ac.kr h k 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- ehanbit.net 자동변수 지금까지하나의함수안에서선언한변수는자동변수이다. 사용범위는하나의함수내부이다. 생존기간은함수가호출되어실행되는동안이다.

More information

PowerPoint Presentation

PowerPoint Presentation FORENSICINSIGHT SEMINAR SQLite Recovery zurum herosdfrc@google.co.kr Contents 1. SQLite! 2. SQLite 구조 3. 레코드의삭제 4. 삭제된영역추적 5. 레코드복원기법 forensicinsight.org Page 2 / 22 SQLite! - What is.. - and why? forensicinsight.org

More information

Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드]

Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드] Chapter 03 변수와자료형 2009 한국항공대학교항공우주기계공학부 (http://mercury.kau.ac.kr/sjkwon) 1 변수와자료유형 변수 프로그램에서자료값을임시로기억할수있는저장공간을변수 (variables) 변수 (Variables) 는컴퓨터의메모리인 RAM(Random Access Memory) 에저장 물건을담는박스라고생각한다면박스의크기에따라담을물건이제한됨

More information

Microsoft PowerPoint - Chapter_04.pptx

Microsoft PowerPoint - Chapter_04.pptx 프로그래밍 1 1 Chapter 4. Constant and Basic Data Types April, 2016 Dept. of software Dankook University http://embedded.dankook.ac.kr/~baeksj 이장의강의목표 2 기본자료형문자표현방식과문자자료형상수자료형변환 기본자료형 (1/8) 3 변수 (Variables)

More information

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영

VHDL 기초 VHDL 두원공과대학정보통신미디어계열이무영 기초 두원공과대학정보통신미디어계열이무영 2! 담당 : 이무영, 본관 325 호, mylee@doowon.ac.kr! 강의교재! 3 월 : 기존교재복습 ( 기초와응용, 홍릉과학출판사, 이대영외 3 명공저 )! 4 월이후 : 추후공지! 실습도구! 한백전자 HBE-DTK-240! www.hanback.co.kr ( 디지털 -FPGA) 자료참고할것임.! 천안공대류장열교수님온라인컨텐츠

More information

1

1 1 1....6 1.1...6 2. Java Architecture...7 2.1 2SDK(Software Development Kit)...8 2.2 JRE(Java Runtime Environment)...9 2.3 (Java Virtual Machine, JVM)...10 2.4 JVM...11 2.5 (runtime)jvm...12 2.5.1 2.5.2

More information

Microsoft PowerPoint - VHDL03.ppt [호환 모드]

Microsoft PowerPoint - VHDL03.ppt [호환 모드] VHDL 프로그래밍 3. VHDL 문법기초 한동일 학습목표 VHDL 언어를구성하는문자세트를배운다. VHDL 언어를구성하는문장구성요소를배운다. VHDL 언어의예약어에대해서숙지한다. VHDL언어의식별어를파악할줄알고사용할줄안다. 리터럴 (literal) 의종류를알고구분할수있다. 객체클래스의종류를알고구분할수있다. 형 (type) 의종류와선언방식을알수있다. 연산자의종류와우선순위를이해한다.

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 C 프로그래밍프로젝트 Chap 14. 포인터와함수에대한이해 2013.10.09. 오병우 컴퓨터공학과 14-1 함수의인자로배열전달 기본적인인자의전달방식 값의복사에의한전달 val 10 a 10 11 Department of Computer Engineering 2 14-1 함수의인자로배열전달 배열의함수인자전달방식 배열이름 ( 배열주소, 포인터 ) 에의한전달 #include

More information

학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2

학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2 학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2 6.1 함수프로시저 6.2 서브프로시저 6.3 매개변수의전달방식 6.4 함수를이용한프로그래밍 3 프로시저 (Procedure) 프로시저 (Procedure) 란무엇인가? 논리적으로묶여있는하나의처리단위 내장프로시저 이벤트프로시저, 속성프로시저, 메서드, 비주얼베이직내장함수등

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

PowerPoint Template

PowerPoint Template JavaScript 회원정보 입력양식만들기 HTML & JavaScript Contents 1. Form 객체 2. 일반적인입력양식 3. 선택입력양식 4. 회원정보입력양식만들기 2 Form 객체 Form 객체 입력양식의틀이되는 태그에접근할수있도록지원 Document 객체의하위에위치 속성들은모두 태그의속성들의정보에관련된것

More information

슬라이드 1

슬라이드 1 -Part3- 제 4 장동적메모리할당과가변인 자 학습목차 4.1 동적메모리할당 4.1 동적메모리할당 4.1 동적메모리할당 배울내용 1 프로세스의메모리공간 2 동적메모리할당의필요성 4.1 동적메모리할당 (1/6) 프로세스의메모리구조 코드영역 : 프로그램실행코드, 함수들이저장되는영역 스택영역 : 매개변수, 지역변수, 중괄호 ( 블록 ) 내부에정의된변수들이저장되는영역

More information

Frama-C/JESSIS 사용법 소개

Frama-C/JESSIS 사용법 소개 Frama-C 프로그램검증시스템소개 박종현 @ POSTECH PL Frama-C? C 프로그램대상정적분석도구 플러그인구조 JESSIE Wp Aorai Frama-C 커널 2 ROSAEC 2011 동계워크샵 @ 통영 JESSIE? Frama-C 연역검증플러그인 프로그램분석 검증조건추출 증명 Hoare 논리에기초한프로그램검증도구 사용법 $ frama-c jessie

More information

Microsoft PowerPoint - chap10-함수의활용.pptx

Microsoft PowerPoint - chap10-함수의활용.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 중 값에 의한 전달 방법과

More information

컴파일러

컴파일러 YACC 응용예 Desktop Calculator 7/23 Lex 입력 수식문법을위한 lex 입력 : calc.l %{ #include calc.tab.h" %} %% [0-9]+ return(number) [ \t] \n return(0) \+ return('+') \* return('*'). { printf("'%c': illegal character\n",

More information

강의 개요

강의 개요 DDL TABLE 을만들자 웹데이터베이스 TABLE 자료가저장되는공간 문자자료의경우 DB 생성시지정한 Character Set 대로저장 Table 생성시 Table 의구조를결정짓는열속성지정 열 (Clumn, Attribute) 은이름과자료형을갖는다. 자료형 : http://dev.mysql.cm/dc/refman/5.1/en/data-types.html TABLE

More information

Microsoft PowerPoint - hw4.ppt [호환 모드]

Microsoft PowerPoint - hw4.ppt [호환 모드] 4.1 initial 과 always Chapter 4 Verilog의특징 보통의 programming언어와같은 procedural statement을제공 추상적인 behavioral model 기술에사용 순차적으로수행하는보통의 programming 언어와는다르게병렬적으로수행하는언어임 module Behavioral Model 논리설계 병렬수행 module

More information

C++-¿Ïº®Çؼ³10Àå

C++-¿Ïº®Çؼ³10Àå C C++. (preprocessor directives), C C++ C/C++... C++, C. C++ C. C C++. C,, C++, C++., C++.,.. #define #elif #else #error #if #itdef #ifndef #include #line #pragma #undef #.,.,. #include #include

More information

Microsoft PowerPoint - ch10 - 이진트리, AVL 트리, 트리 응용 pm0600

Microsoft PowerPoint - ch10 - 이진트리, AVL 트리, 트리 응용 pm0600 균형이진탐색트리 -VL Tree delson, Velskii, Landis에의해 1962년에제안됨 VL trees are balanced n VL Tree is a binary search tree such that for every internal node v of T, the heights of the children of v can differ by at

More information

구조체정의 자료형 (data types) 기본자료형 (primitive data types) : char, int, float 등과같이 C 언어에서제공하는자료형. 사용자정의자료형 (user-defined data types) : 다양한자료형을묶어서목적에따라새로운자료형을

구조체정의 자료형 (data types) 기본자료형 (primitive data types) : char, int, float 등과같이 C 언어에서제공하는자료형. 사용자정의자료형 (user-defined data types) : 다양한자료형을묶어서목적에따라새로운자료형을 (structures) 구조체정의 구조체선언및초기화 구조체배열 구조체포인터 구조체배열과포인터 구조체와함수 중첩된구조체 구조체동적할당 공용체 (union) 1 구조체정의 자료형 (data types) 기본자료형 (primitive data types) : char, int, float 등과같이 C 언어에서제공하는자료형. 사용자정의자료형 (user-defined

More information

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A 예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = 1 2 3 4 5 6 7 8 9 B = 8 7 6 5 4 3 2 1 0 >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = 0 0 0 0 1 1 1 1 1 >> tf = (A==B) % A 의원소와 B 의원소가똑같은경우를찾을때 tf = 0 0 0 0 0 0 0 0 0 >> tf

More information

BMP 파일 처리

BMP 파일 처리 BMP 파일처리 김성영교수 금오공과대학교 컴퓨터공학과 학습내용 영상반전프로그램제작 2 Inverting images out = 255 - in 3 /* 이프로그램은 8bit gray-scale 영상을입력으로사용하여반전한후동일포맷의영상으로저장한다. */ #include #include #define WIDTHBYTES(bytes)

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Lecture 02 프로그램구조및문법 Kwang-Man Ko kkmam@sangji.ac.kr, compiler.sangji.ac.kr Department of Computer Engineering Sang Ji University 2018 자바프로그램기본구조 Hello 프로그램구조 sec01/hello.java 2/40 자바프로그램기본구조 Hello 프로그램구조

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

윈도우즈프로그래밍(1)

윈도우즈프로그래밍(1) 제어문 (2) For~Next 문 윈도우즈프로그래밍 (1) ( 신흥대학교컴퓨터정보계열 ) 2/17 Contents 학습목표 프로그램에서주어진특정문장을부분을일정횟수만큼반복해서실행하는문장으로 For~Next 문등의구조를이해하고활용할수있다. 내용 For~Next 문 다중 For 문 3/17 제어문 - FOR 문 반복문 : 프로그램에서주어진특정문장들을일정한횟수만큼반복해서실행하는문장

More information

adfasdfasfdasfasfadf

adfasdfasfdasfasfadf C 4.5 Source code Pt.3 ISL / 강한솔 2019-04-10 Index Tree structure Build.h Tree.h St-thresh.h 2 Tree structure *Concpets : Node, Branch, Leaf, Subtree, Attribute, Attribute Value, Class Play, Don't Play.

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

thesis

thesis ( Design and Implementation of a Generalized Management Information Repository Service for Network and System Management ) ssp@nile nile.postech.ac..ac.kr DPE Lab. 1997 12 16 GMIRS GMIRS GMIRS prototype

More information

슬라이드 1

슬라이드 1 UNIT 6 배열 로봇 SW 교육원 3 기 학습목표 2 배열을사용핛수있다. 배열 3 배열 (Array) 이란? 같은타입 ( 자료형 ) 의여러변수를하나의묶음으로다루는것을배열이라고함 같은타입의많은양의데이터를다룰때효과적임 // 학생 30 명의점수를저장하기위해.. int student_score1; int student_score2; int student_score3;...

More information

chap x: G입력

chap x: G입력 재귀알고리즘 (Recursive Algorithms) 재귀알고리즘의특징 문제자체가재귀적일경우적합 ( 예 : 피보나치수열 ) 이해하기가용이하나, 비효율적일수있음 재귀알고리즘을작성하는방법 재귀호출을종료하는경계조건을설정 각단계마다경계조건에접근하도록알고리즘의재귀호출 재귀알고리즘의두가지예 이진검색 순열 (Permutations) 1 장. 기본개념 (Page 19) 이진검색의재귀알고리즘

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

Microsoft PowerPoint - chap06-1Array.ppt

Microsoft PowerPoint - chap06-1Array.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-1 참고자료 배열 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 배열의선언과사용 같은형태의자료형이많이필요할때배열을사용하면효과적이다. 배열의선언 배열의사용 배열과반복문 배열의초기화 유연성있게배열다루기 한빛미디어

More information

Modern Javascript

Modern Javascript ES6 - Arrow Function Class Template String Destructuring Default, Rest, Spread let, const for..of Promises Module System Map, Set * Generator * Symbol * * https://babeljs.io/ Babel is a JavaScript compiler.

More information

슬라이드 1

슬라이드 1 정적메모리할당 (Static memory allocation) 일반적으로프로그램의실행에필요한메모리 ( 변수, 배열, 객체등 ) 는컴파일과정에서결정되고, 실행파일이메모리에로드될때할당되며, 종료후에반환됨 동적메모리할당 (Dynamic memory allocation) 프로그램의실행중에필요한메모리를할당받아사용하고, 사용이끝나면반환함 - 메모리를프로그램이직접관리해야함

More information

Javascript.pages

Javascript.pages JQuery jquery part1 JavaScript : e-mail:leseraphina@naver.com http://www.webhard.co.kr I.? 2 ......,,. : : html5 ; ; .

More information

윤성우의 열혈 TCP/IP 소켓 프로그래밍

윤성우의 열혈 TCP/IP 소켓 프로그래밍 C 프로그래밍프로젝트 Chap 22. 구조체와사용자정의자료형 1 2013.10.10. 오병우 컴퓨터공학과 구조체의정의 (Structure) 구조체 하나이상의기본자료형을기반으로사용자정의자료형 (User Defined Data Type) 을만들수있는문법요소 배열 vs. 구조체 배열 : 한가지자료형의집합 구조체 : 여러가지자료형의집합 사용자정의자료형 struct

More information

Microsoft PowerPoint - PL_03-04.pptx

Microsoft PowerPoint - PL_03-04.pptx Copyright, 2011 H. Y. Kwak, Jeju National University. Kwak, Ho-Young http://cybertec.cheju.ac.kr Contents 1 프로그래밍 언어 소개 2 언어의 변천 3 프로그래밍 언어 설계 4 프로그래밍 언어의 구문과 구현 기법 5 6 7 컴파일러 개요 변수, 바인딩, 식 및 제어문 자료형 8

More information

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf("hihi\n"); } warning: conflicting types for functiona

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf(hihi\n); } warning: conflicting types for functiona 이름 : 학번 : A. True or False: 각각항목마다 True 인지 False 인지적으세요. 1. (Python:) randint 함수를사용하려면, random 모듈을 import 해야한다. 2. (Python:) '' (single quote) 는한글자를표현할때, (double quote) 는문자열을표현할때사용한다. B. 다음에러를수정하는방법을적으세요.

More information

C++ Programming

C++ Programming C++ Programming 연산자다중정의 Seo, Doo-okok clickseo@gmail.com http://www.clickseo.com 목 차 연산자다중정의 C++ 스타일의문자열 2 연산자다중정의 연산자다중정의 단항연산자다중정의 이항연산자다중정의 cin, cout 그리고 endl C++ 스타일의문자열 3 연산자다중정의 연산자다중정의 (Operator

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음

프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 프로그래밍개론및실습 2015 년 2 학기프로그래밍개론및실습과목으로본내용은강의교재인생능출판사, 두근두근 C 언어수업, 천인국지음을발췌수정하였음 CHAPTER 9 둘중하나선택하기 관계연산자 두개의피연산자를비교하는연산자 결과값은참 (1) 아니면거짓 (0) x == y x 와 y 의값이같은지비교한다. 관계연산자 연산자 의미 x == y x와 y가같은가? x!= y

More information

쉽게

쉽게 Power Java 제 4 장자바프로그래밍기초 이번장에서학습할내용 자바프로그램에대한기초사항을학습 자세한내용들은추후에. Hello.java 프로그램 주석 주석 (comment): 프로그램에대한설명을적어넣은것 3 가지타입의주석 클래스 클래스 (class): 객체를만드는설계도 ( 추후에학습 ) 자바프로그램은클래스들로구성된다. 그림 4-1. 자바프로그램의구조 클래스정의

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Chapter 10 포인터 01 포인터의기본 02 인자전달방법 03 포인터와배열 04 포인터와문자열 변수의주소를저장하는포인터에대해알아본다. 함수의인자를값과주소로전달하는방법을알아본다. 포인터와배열의관계를알아본다. 포인터와문자열의관계를알아본다. 1.1 포인터선언 포인터선언방법 자료형 * 변수명 ; int * ptr; * 연산자가하나이면 1 차원포인터 1 차원포인터는일반변수의주소를값으로가짐

More information

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate ALTIBASE HDB 6.1.1.5.6 Patch Notes 목차 BUG-39240 offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG-41443 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate 한뒤, hash partition

More information

03-JAVA Syntax(2).PDF

03-JAVA Syntax(2).PDF JAVA Programming Language Syntax of JAVA (literal) (Variable and data types) (Comments) (Arithmetic) (Comparisons) (Operators) 2 HelloWorld application Helloworldjava // class HelloWorld { //attribute

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

설계란 무엇인가?

설계란 무엇인가? 금오공과대학교 C++ 프로그래밍 jhhwang@kumoh.ac.kr 컴퓨터공학과 황준하 6 강. 함수와배열, 포인터, 참조목차 함수와포인터 주소값의매개변수전달 주소의반환 함수와배열 배열의매개변수전달 함수와참조 참조에의한매개변수전달 참조의반환 프로그래밍연습 1 /15 6 강. 함수와배열, 포인터, 참조함수와포인터 C++ 매개변수전달방법 값에의한전달 : 변수값,

More information

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M.

HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. 오늘할것 5 6 HW5 Exercise 1 (60pts) M interpreter with a simple type system M. M. M.., M (simple type system). M, M. M., M. Review: 5-2 7 7 17 5 4 3 4 OR 0 2 1 2 ~20 ~40 ~60 ~80 ~100 M 언어 e ::= const constant

More information

PowerPoint Presentation

PowerPoint Presentation #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 변수와상수의개념에대해알아본다.

More information

A Hierarchical Approach to Interactive Motion Editing for Human-like Figures

A Hierarchical Approach to Interactive Motion Editing for Human-like Figures 단일연결리스트 (Singly Linked List) 신찬수 연결리스트 (linked list)? tail 서울부산수원용인 null item next 구조체복습 struct name_card { char name[20]; int date; } struct name_card a; // 구조체변수 a 선언 a.name 또는 a.date // 구조체 a의멤버접근 struct

More information

UML

UML Introduction to UML Team. 5 2014/03/14 원스타 200611494 김성원 200810047 허태경 200811466 - Index - 1. UML이란? - 3 2. UML Diagram - 4 3. UML 표기법 - 17 4. GRAPPLE에 따른 UML 작성 과정 - 21 5. UML Tool Star UML - 32 6. 참조문헌

More information