Microsoft PowerPoint - VHDL03.ppt [호환 모드]

Size: px
Start display at page:

Download "Microsoft PowerPoint - VHDL03.ppt [호환 모드]"

Transcription

1 VHDL 프로그래밍 3. VHDL 문법기초 한동일 학습목표 VHDL 언어를구성하는문자세트를배운다. VHDL 언어를구성하는문장구성요소를배운다. VHDL 언어의예약어에대해서숙지한다. VHDL언어의식별어를파악할줄알고사용할줄안다. 리터럴 (literal) 의종류를알고구분할수있다. 객체클래스의종류를알고구분할수있다. 형 (type) 의종류와선언방식을알수있다. 연산자의종류와우선순위를이해한다. 형과연산자의관계를이해한다. 2/73

2 목차 VHDL 문법기초 VHDL 문장구성요소 예약어 (reserved word) 식별어 (identifier) 리터럴 (literal) 주석 (comment) 연산자 (operator) 형 (type) 3/73 VHDL 의문장구성요소 사용가능한문자세트 도형문자 (graphic code) ISO/IEC : 1987, Information Processing - 8-bit Single-Byte Coded Graphic Character Sets -- Part 1: Latin Alphabet No. 1. 포맷제어문자 (format effector) 수직탭 (vertical tab) 복귀부호 (carriage return) 줄먹임문자 (line feed) 용지먹임문자 (form feed) 주석부분 -- 기호다음부분 /* */ 기호내부 (2008 버전이후사용가능 ) 컴파일러가무시하므로한글도입력가능 4/73

3 191 개도형문자들 5/73 제어문자들 6/73

4 VHDL 의문장구성요소 주문자세트 7/73 VHDL 의문장구성요소 보조문자세트 8/73

5 VHDL 의문장구성요소 문장구성요소의분류 구분어 (delimiter) 예약어 (reserved word) 식별어 (identifier) 리터럴 (literal) 주석 (comment) 9/73 문장구성요소의분류 분리어 (separator) 공백문자 (space), 포맷제어문자 (format effector), 라인종단문자 (End of line) 로구성됨 분리어사용방법 라인의끝은항상분리어로끝남 분리어의종류는무관 하나이상의분리어가연속되어사용되어도무관 가독성을향상시킬수있도록적절히사용하면됨 10/73

6 문장구성요소의분류 구분어 (delimiter) it 특수문자를이용하여문자열들을서로다른문장요소로분리하고구분하는역할 구분어의분류 복합구분어 (compound delimiter) 단일구분어의종류및이름 종류이름종류이름종류이름 & ' ( ) * +, Ampersand Apostrophe, tick Lft Left parenthesis Right parenthesis Asterisk, multiply Plus sign Comma -. / : ; < = Hypen, minus sign Dot, point, period Slash, divide, id solidus Colon Semicolon Less-than sign Equals sign > ` [ Greater-than sign Grave accent Vertical line(bar) Left square bracket Right square bracket Question mark Commencial at 11/73 구분어 구분어 (delimiter) it 복합구분어의종류및이름 종류이름종류이름 => := >= <>?=?<?> << Arrow Variable assignment Greater than or equal Box Matching equality Matching less than Matching greater than Double less than ** /= <=???/=?<=?>= >> Double star, exponentiation Inequality, not equal Less than or equal, signal assignment Condition conversion Matching inequality Matching less than or equal Mthi Matching greater than or equal Double greater than 12/73

7 문장구성요소의분류 예약어 (reserved word) 총 115 개 (2008 버전의경우 ) 대소문자구분이없음 다른의미의식별어로사용하면안됨 코딩시숙지하고있어야함 13/73 예약어 : 버전 abs access after alias all and architecture array assert assume assume_guarantee attribute begin block body buffer bus case component configuration constant context cover df default disconnect downto else elsif end entity exit fairness file for force function generate generic group guarded if impure in inertial inout is label library linkage literal loop map mod nand new next nor not null of on open or others out package parameter port postponed procedure process property p protected pure range record register reject release rem report restrict_guarantee restrict return rol ror select sequence severity signal shared sla sll sra srl strong subtype then to transport type unaffected units until use variable vmode vprop p vunit wait when while with xnor xor 14/73

8 문장구성요소의분류 식별어 (identifier) 설계자가명명하는문법구조의이름들 아키텍처이름 신호, 변수이름등등 넓은의미로는예약어도식별어의일종 ( 식별어의명명규칙에의해서생성됨 ) 식별어의분류 기본식별어 (basic identifier) 확장식별어 (extended identifier) 15/73 식별어 식별어의 BNF 명명규칙 identifier ::= basic_identifier extended_identifier basic_identifier ::= letter { [ underline ] letter_or_digit _ } letter_or_digit _ ::= letter digit letter ::= upper_case_letter lower_case_letter extended_identifier ::= \ graphic_character { graphic_character } \ 16/73

9 식별어 기본식별어의예 정상적인예 COUNT, A, DCT, FrameCount X9, ADD1, Pentium4, P4C1 RST_n, Frame_Count, ADD_A_BA 잘못정의된예 _carry_out : 밑줄이제일앞에올수없음 ADD A_B A : 밑줄이연이어두개올수없음 DCT_ : 밑줄이마지막에올수없음 확장식별어의예 정상적인예 \ ELSE\, \ 200$\, \ ADD A_B\, A \ DCT_\, \ \ 74LS32\ 17/73 문장구성요소의분류 리터럴 (literal) l) constant HTOTAL_WIDTH : integer := 512 ; constant MAX_BIN : string := B"1111_1111_1111"; constant MAX_HEX : BIT_VECTOR := X"FFF"; type VOLTAGE_RANGE is range to 15.0; 리터럴 512 B"1111_1111_1111" X"FFF" /73

10 문장구성요소의분류 리터럴 (literal) l) 리터럴의분류 decimal literal abstract literal based literal literal character literal string literal real literal integer literal bit string literal 19/73 리터럴 추상리터럴 (abstract t literal) l) 수형에의한분류 정수형리터럴 (integer literal) 진법에의한분류 십진수리터럴 (decimal literal) 진수리터럴 (based literal) l) 추상리터럴의표현 숫자앞부분에 0을추가가능 자리수를일치시키거나가독성을위함 밑줄사용가능 두개이상연이어사용불가 처음과마지막에사용불가 20/73

11 리터럴 십진수리터럴의 BNF 정의 decimal_literal ::= integer [. integer ] [ exponent ] integer ::= digit { [ underline ] digit } exponent ::= E [ + ] integer E integer 십진수리터럴의예 15, 0, 1E6, 123_456_789 실수형리터럴의예 15.0, 0.0, 1.2e+6, 3.141_592, 1.234E-15 십진수리터럴의사용예 constant HALF_PERIOD : time := 50E3ns 5.0E3 ; 21/73 리터럴 진수리터럴의 BNF 정의 based_literal ::= base # based_integer [. based_integer ] # [ exponent ] base ::= integer based_integer ::= extended_digit { [ underline ] extended_digit } extended_digit ::= digit letter exponent ::= E [ + ] integer E - integer 2진수 ~ 16진수사이의진수표현가능 진수리터럴의예 2#1111_1110#, 2#1.1111_1111_111#E11 16 진수리터럴의예 16#FE#, 16#F.FF#E+2 22/73

12 리터럴 문자리터럴 (character literal) l) 의 BNF 정의 character_literal ::= 'graphic_character' 두개의작은따옴표사이에하나의문자만올수있음 문자리터럴의예 정상적인예 a, 1 잘못정의된예 a, ab 23/73 리터럴 문자열리터럴 (string literal) l) 의 BNF 정의 string_literal ::= "{graphic_character}" 두개의따옴표사이에임의의개수의도형문자들이옴 내부에따옴표를사용하는경우연속된두개의따옴표를하나의따옴표로계산 두줄이상이필요할경우 & 로두개의문자열을연결 문자열리터럴의예 a,, -- 모두길이가 1 인문자열 imagefolder/sampleimage.txt l i t 24/73

13 리터럴 비트열리터럴 (bit string literal) l) 의 BNF 정의 bit_string_literal ::= [ integer ] base_specifier "[bit_value]" bit_value ::= graphic_character { [ underline ] graphic_character } base_specifier ::= B O X UB UO UX SB SO SX D 두개의따옴표사이에오는문자열로비트열리터럴생성 올수있는문자열 0~9, A~F, U, X, 0, 1, Z, W, L, H, - ( 대소문자구분없음 ) Integer : 비트열의길이정보를제공가능 base specifier B : 이진수, O : 8 진수, X : 16 진수 U : std_ulogic, S : std_logic, D : 십진수표기를나타냄 25/73 리터럴 비트열리터럴의예 bit string literal length equivalent value B"" B"1111_1111" 1111" X"FF" O"77" X"77" B"XXXX_10HL" X"F-" 12X"F-" 12SX"XXA0" empty bit string " " B"1111_1111" B"111_111" B"0111_0111" "XXXX10HL" B"1111_ ----" B"0000_1111_---- B"XXXX_1010_0000" 밑줄문자의개수는비트열의길이와무관 가독성을높이기위한용도 26/73

14 문장구성요소의분류 주석 (comment) VHDL 코드의가독성을증가시키는목적 VHDL 서술과관련된부가정보를문법제한없이서술가능 주석의분류 범위주석 (delimited comment) 한줄주석 두개의연속된하이픈 -- 이용 해당라인내에서 -- 기호이후의대부분의기호는주석으로무시함 주석내부의 -- 기호도무시 한줄주석내에다음문자는사용불가 수직탭 (vertical tab), 복귀부호 (carriage return) 줄먹임문자 (line feed), 용지먹임문자 (form feed) 27/73 주석 범위주석 /* 와 */ 기호사용 /* 와 */ 내부의모든기호는주석으로간주하고무시함 범위주석내부의 범위주석내부의 -- 기호도마찬가지로무시함 한줄주석과마찬가지로내부에한글기호사용가능 수직탭, 복귀부호등도사용가능하며 VHDL 해석기가무시함 주석의사용예 ---- The first two hyphens start the comment. end; -- End of process r_image := 255; /* Comments /* do not nest */ -- 한글주석도가능합니다. 28/73

15 문장구성요소의분류 VHDL 문장예 S <= A xor B; -- calculate l sum of two input 문장구성요소분류예 식별어, 구분어, 주석, 예약어사이의공백문자는분리어 S : 식별어 <= : 구분어 A : 식별어 xor : 예약어 B: 식별어 ; : 구분어 -- calcu : 주석 29/73 연산자 (operator) 연산자 (operator) 란? 표현식 (expression) 에사용되어식의값을결정하는용도 연산자의분류 8 개의클래스로분류, 우선순위가높은연산자가먼저계산됨 같은우선순위에대해서는왼쪽부터오른쪽순서로계산 operator class operators precedence condition?? low logical relational shift adding sign multiplying py miscellaneous and or nand nor xor xnor = /= < <= > >=?=?/=?<?<=?>?>= sll srl sla sra rol ror + - & + - * / mod rem ** abs not high 30/73

16 연산자 (operator) 조건연산자 (condition operator) 단항연산자 (unary operator) IEEE Standard 버전부터추가된연산자 조건연산자의정의 function "??" (anonymous: BIT) return BOOLEAN; bit 형을 boolean 형으로변환하는용도 1 인경우 TRUE 를리턴 0 인경우 FALSE 를리턴 31/73 연산자 (operator) 논리연산자 (logical l operator) bit, boolean 형에대해서연산이정의 (standard 패키지 ) nand, nor 연산자가연이어올때는괄호가필요 논리연산자의정의 32/73

17 논리연산자 (logical operator) 논리연산자의사용예 signal a, b, c, b1, b2, b3, b4, b5, b6, b7, b8 : boolean; -- 중략 b5 <= a nand b nand c; -- 에러를유발하는경우 b6 <= a nor b nor c; -- 에러를유발하는경우 b7 <= a and b or c ; -- 에러를유발하는경우 -- 정상적인경우들 b1 <= a and b and c and d ; b2 <= a or b or c; b3 <= a xor b xor c xor d; b4 <= a xnor b xnor c; b5 <= (a nand b) nand c; b7 <= a and (b or c) ; 33/73 연산자 (operator) 관계연산자 (relational l operator) 두피연산자의동일성여부검출 관계연산자의분류 관계연산자 두피연산자의관계를비교한후 boolean 값을리턴 정합관계연산자 (matching relational operator) 두피연산자의관계를비교한후피연산자와같은형을리턴 IEEE Standard 버전부터추가 relational loperator operators relational = /= < <= > >= matching relational?=?/=?<?<=?>?>= 34/73

18 관계연산자 관계연산자의기능정의 35/73 연산자 (operator) 자리이동연산자 (shift operator) bit, boolean 형의일차원배열에대해서연산이정의 피연산자의정수부분이음수일경우에는방향이바뀜 자리이동연산자의기능정의 36/73

19 자리이동연산자 자리이동연산자의동작 sll : shift left logical 제일오른쪽에는배열요소의 LEFT 속성값이입력 srl : shift right logical 정수값만큼배열을오른쪽으로이동 제일왼쪽에는배열요소의 LEFT 속성값이입력 sla : shift left arithmetic 정수값만큼배열을왼쪽으로이동 제일오른쪽에있던배열값이반복해서입력 sra : shift right arithmetic 정수값만큼배열을오른쪽으로이동 제일왼쪽에있던배열값이반복해서입력 37/73 자리이동연산자 자리이동연산자의동작 rol : rotate left logical 정수값만큼배열을왼쪽으로이동 제일왼쪽에있던값이오른쪽으로재입력 ror : rotate right logical 정수값만큼배열을오른쪽으로이동 제일오른쪽에있던값이왼쪽으로재입력 38/73

20 자리이동연산자 자리이동연산예 operation result remark sll 1 " " 배열의오른쪽에 BIT'LEFT 값인 0 이입력됨 sll srl srl 3 " " " " " " 위의동작을 2번반복배열의왼쪽에 BIT'LEFT 값인 0 이입력됨위의동작을 3번반복 srl sla sra rol ror ror 0 " " " " " " " " " " " " sll 2 연산과동일한값을출력배열의오른쪽끝값 1 이입력됨배열의왼쪽끝값 0 이반복해서입력됨 배열의왼쪽끝값 0 이오른쪽끝에입력됨배열의오른쪽끝값 1 이연속해서왼쪽끝에입력됨변화없음 39/73 연산자 (operator) 덧셈연산자 (adding operator) +, - : 수학적인산술연산수행 & : 배열형을서로접합하여큰배열형을생성 덧셈연산자의기능정의 40/73

21 덧셈연산자 (adding operator) 접합연산예 operation result 0110 & 1001 " " 0 & 1001 "01001" 0110 & 1 "01101" 0 & 1 "01" 0 & 1001 & 1 "010011" 41/73 연산자 (operator) 부호연산자 (sign operator) +, - : 수학적인산술연산과같은동작 수치형에대해서정의됨 부호연산자의기능정의 42/73

22 부호연산자 (sign operator) 부호연산자사용규칙 부호연산보다우선순위가높은다음의연산자들다음에는바로부호연산자가올수없음 곱셈연산자 : *, / 지수연산자 : ** 절대값연산자 : abs 부호연산자사용예 A/+B A**-B A/(+B) A**( (-B) -- An illegal expression. -- An illegal expression. -- A legal expression. -- A legal expression. 43/73 연산자 (operator) 곱셈연산자 (multiplying l i operator) *, / : 수학적인정의와같은동작 mod : 정수형, 물리형에대해서정의됨 rem : 정수형, 물리형에대해서정의됨 곱셈연산자의기능정의 44/73

23 곱셈연산자 나머지연산자 rem 의정의 정수나눗셈과 rem 연산자와의관계식 A = (A/B)*B + (A rem B) (A rem B) 의절대값은 B 값의절대값보다작은값 계수연산자 mod 의정의 mod 연산자는임의의정수 N 에대해아래의식이성립 A = B*N + (A mod B) (A mod B) 의값은피연산자 B 의부호 (A mod B) 의절대값은 B 값의절대값보다작은값 45/73 곱셈연산자 곱셈연산자의연산예 A 의부호 B 의부호 A B A/B A rem B A mod B (-5) (-5) (-3) (-3) ns 3 ns 1 2 ns 2 ns (-5 ns) 3 ns -1-2 ns 1 ns 1 ns 300 ps ps 100 ps (-4) (-11) (-4) /73

24 연산자 (operator) 기타연산자 (miscellaneous operator) ** : 지수연산자 (exponentiating operator) 정수형, 실수형에대해서만정의, 지수부 (exponent) 에는정수형만옴 지수부가음수일때는지수부가양수일때의값의역수 abs : 절대값연산자 단항연산자, 수치형에대해서정의 not : 단항연산자, bit, boolean 형에대해서정의 47/73 형 (type) VHDL 에서객체 (object) 란? 특정형 (type) 을가지면서이형에의해서정의될수있는범위내의값 (value) 를가지는실체 상수 (constant) : 초기값을배정하면서이값이바뀌지않음 신호 (signal) : 아키텍처선언부에서선언되며신호배정문을이용 변수 (variable) : 프로세스선언부에서선언되며변수배정문을이용 파일 (file) : 파일형태의데이터를처리하는용도로사용 객체들은 4 가지클래스중의하나에속함 48/73

25 형 (type) 객체 (object) 의정의 객체의정의시객체이름, 객체클래스, 객체의형을동시에정의해야함 상수를정의할경우에는대부분초기값도같이정의 object class constant constant signal signal variable variable file file Object name type value BUS_WIDTH FALL_TIME HACTIVE SUM L data_bus IN_TXT OUT_IMG : integer := 8; : TIME := 20 ns; : bit; : integer range 0 to 255; : line; : bit_vector(3 downto 0); : text open read_mode is "filein.txt"; : char_file open write_mode is "f.bmp"; 8 20 ns '0' or '1' 0 ~ 255 Pointer 값 "0000" ~ "1111" filein.txt f.bmp 49/73 형 (type) 객체의형 (type) Scalar Type Enumeration Type Integer Type Discrete Type Physical Type Floating-point Type Numeric Type Type Composite Type Array Type Access Type Record Type File Type Protected Type 50/73

26 형 (type) 형선언의 BNF 정의 type_declaration ::= type identifier is type_definition ; type_definition ::= scalar_type_definition composite_type_definition access_type_definition file_type_definition protected_type_definition 형선언의예 type SYNC_WIDHT is range 0 to 1023; 51/73 형 (type) 스칼라형 (scalar type) 열거형 (enumaration type) 정수형 (integer type) 물리형 (physical type) 부동소수점형 (floating-point type) 모든스칼라형들은값의순서를정할수있음 관계연산자 (relational operator) 를이용한대소비교가가능 52/73

27 스칼라형 (scalar type) 열거형의 BNF 정의 enumeration_type_definition ::= ( enumeration_literal {, enumeration_literal } ) enumeration_literal ::= identifier character_literal 열거형선언에사용된식별어와문자리터럴을열거리터럴 (enumeration literal) 이라고함 열거형선언의예 type BIT is ('0', '1'); type MULTI_LEVEL_LOGIC LEVEL LOGIC is (LOW, WEAK, HIGH); 53/73 스칼라형 (scalar type) 정수형의 BNF 정의 integer_type_definition ::= range_constraint range_constraint ::= range range_info range_info ::= range_attribute_name simple_expression direction simple_expression direction ::= to downto simple_expression 값은컴파일타임에상수값이어야함 direction 에맞게좌우값의크기가지정되어야함 정수형선언의예 type BIT_INDEX is range 7 downto 0; -- 권장하지않음 type CONVERTED_CB CB is range -512 to 511; -- 사용권장 54/73

28 스칼라형 (scalar type) 물리형의 BNF 정의 physical _ type _ definition ::= range_constraint units primary_unit_declaration { secondary_ unit_ declaration } end units [ physical_type_simple_name ] primary_unit_declaration ::= identifier ; secondary_ unit_ declaration ::= identifier = physical _ literal ; physical_literal ::= [ abstract_literal ] unit_name 시간, 거리, 전압, 전류와같은물리량의표현에사용 55/73 스칼라형 (scalar type) 물리형선언의예 type SIMULATION_TIMETIME is range 0 to 1E+8 units fs; ps= 1000 fs; ns= 1000 ps; us= 1000 ns; ms= 1000 us; end units SIMULATION_TIME ; 수표현과단위사이에는반드시공백문자가와야함 56/73

29 스칼라형 (scalar type) 부동소수점형의 BNF 정의 floating_type_definition ::= range_constraint range_constraint ::= range range_info range_info ::= range_attribute_name simple_expression direction simple_expression direction ::= to downto simple_expression 값은컴파일타임에상수값이어야함 direction 에맞게좌우값의크기가지정되어야함 부동소수점형선언의예 type LVTTL_VOLTAGE is range 0.0 to 3.6; type FILTER_RANGERANGE is range downto ; 57/73 형 (type) 복합형 (composite type) 복합형의종류 배열형 (array type) : 동일한자료형의모임 레코드형 (record type) : 서로다른자료형의모임을서술가능 여러개의내부원소들로이루어지는객체의모임을나타냄 복합형의내부원소로가질수없는형 파일형 (file type) 보호형 (protected type) 58/73

30 복합형 (composite type) 배열형의 BNF 정의 array_type_definition ::= unbounded_array_definition constrained_array_definition constrained_array_definition ::= array index_constraint of element_subtype_indication index_constraint ::= ( discrete_range {, discrete_range } ) discrete_range ::= discrete_subtype_indication range_info unbounded_array_definition ::= array ( index_subtype_definition {, index_subtype_definition } ) of element_subtype_indication index_subtype_definition ::= type_mark range <> type_mark ::= type_name subtype_name 원소의이름은이산형의인덱스로표현 59/73 복합형 (composite type) 제한배열형 (constrained array) 선언의예 type DATA_WORD is array (7 downto 0) of BIT; type TWO_DIM_WORD is array (0 to 7, 0 to 255) of STD_LOGIC; subtype MemRange is integer range 0 to 255; type StdLogicRange is array (MemRange) of std_logic; 무한배열형 (unconstrained array) 선언의예 type MEM is array (INTEGER range <>) of STD_LOGIC; type MEM_MODULE is array (INTEGER range <>) of DATA_WORD; 60/73

31 복합형 (composite type) 레코드형의 BNF 정의 record_yp type_ definition ::= record element_ declaration { element_declaration } end record [ record_yp type_ simple _ name ] element_ declaration ::= identifier_list : element_subtype_definition ; identifier_ list ::= identifier {, identifier } element_subtype_definition ::= subtype_indication C 언어에서 struct 선언과같은역할 61/73 복합형 (composite type) 레코드형선언의예 type DATE is record DAY : INTEGER range 1 to 31; MONTH : MONTH_NAME; YEAR : INTEGER range 0 to 4000; end record; type OVERLAY is record ALPHA: std_logic_vector(5 downto 0); RED, GREEN, BLUE : std_logic_vector(7 downto 0); end record; 62/73

32 형 (type) 접근형 (access type) 할당기 (allocator) 를사용하여생성한객체를접근하기위한용도로사용 할당기 (allocator) new 예약어를이용하여객체를할당 객체할당시객체의형 (type) 과초기값을지정 객체의이름은정의되지않음 deallocate 프로시저를이용하여객체를반환 대부분의논리합성기에서논리합성은불가능하며테스트벤치생성용으로대부분사용 63/73 접근형 (access type) 할당기를이용한객체생성의 BNF 정의 allocator ::= new subtype_indication new qualified_expression subtype_indication ::= type_mark [ constraint ] type _ mark ::= type _ name subtype _ name qualified_expression ::= type_mark ' ( expression ) type_mark ' aggregate 할당기의사용예 new BIT_VECTOR; new BIT_VECTOR'(" "); -- qualified expression new STRING (1 to 10); 64/73

33 접근형 (access type) 접근형의 BNF 정의 access_type_definition _yp _ ::= access subtype_indication subtype_indication ::= type_mark [ constraint ] type_mark ::= type_name subtype_name 접근형의사용 접근형의경우시뮬레이션단계에서객체생성 시스템자원을효과적으로사용하게함 접근형객체는변수로만선언가능 신호로선언불가 65/73 접근형 (access type) 접근형선언의예 type ADDRESS is access MEMORY; type IN_BUFFER is array (0 to 31) of BIT_VECTOR(7 downto 0); type BUFFER_ PTR is access IN_ BUFFER ; 접근형사용예 -- 중략 variable IB_PTR : BUFFER_PTR; -- 중략 IB_PTR := new IN_BUFFER ; IB_PTR 변수는 IN_BUFFER 형을접근할수있는포인터 new 예약어를이용해서생성된객체를가리킴 66/73

34 접근형 (access type) 접근형사용의실제예 process(a) begin end process; type memory is array (3 downto 0) of bit_vector(7 downto 0); type MEMORY_PTR is access memory ; variable PTR1: MEMORY_PTR; -- 접근형변수만생성 PTR1 := new memory; -- 객체생성및접근형연결 for i in 3 downto 0 loop for j in 7 downto 0 loop PTR1 (i) (j) := '0'; end loop; end loop; 67/73 접근형 (access type) 접근형을사용하지않은예 process(a) type memory is array (3 downto 0) of bit_vector(7 downto 0); variable mem: memory; -- 정교화단계에서메모리생성 begin for i in 3 downto 0 loop for j in 7 downto 0 loop mem (i) (j) := '0'; end loop; end loop; end process; 68/73

35 형 (type) 파일형 (file type) 호스트컴퓨터내의파일들을다루기위해사용 파일형의 BNF 정의 file_type_definition ::= file of type_mark type_mark ::= type_name subtype_name type_mark : 파일에포함되어있는값의형을나타냄 복합형이올경우원소로접근형이올수없음 배열형이올경우일차원제한배열형이어야함 69/73 파일형 (file type) 파일형선언의예 type text is file of STRING; -- TEXTIO 패키지에정의되어있음 type char_file is file of character; type num_ file is file of natural; 70/73

36 형 (type) 보호형 (protected t type) 순차문으로이루어진일정영역을여러개의프로세서와공유할때해당영역의독점적인사용을보장하기위해서사용 IEEE Standard 버전부터추가된형 보호형선언과보호형본체로이루어짐 보호형선언이후바로하나의보호형본체가와야함 71/73 보호형 (protected type) 보호형의 BNF 정의 protected_type_definition ::= protected_type_declaration protected_type_body protected_type_declaration ::= protected protected_type_declarative_part end protected [ protected_type_simple_name ] protected_type_declarative_part ::= { protected_type_declarative_item } protected_type_declarative_item ::= subprogram_declaration subprogram_instantiation_declaration attribute_specification use_clause 72/73

37 보호형 (protected type) 보호형의 BNF 정의 ( 계속 ) protected_type_body ::= protected body protected_type_body_declarative_part end protected body [ protected_type_simple name ] protected_type_body_declarative_part ::= { protected_type_body_declarative_item } protected_type_body_declarative_item ::= type_declaration subprogram_body package_declaration package_body subprogram_declaration subtype_declaration constant_declaration variable_declaration file_declaration alias_declaration attribute_declaration attribute_specification use_clause group_template_declaration group_declaration 73/73

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드]

Microsoft PowerPoint - VHDL01_chapter1.ppt [호환 모드] VHDL 프로그래밍 1. 문법기초 - 간단한조합회로및문법 학습목표 VHDL 기술과소프트웨어와차이파악 Signal assignment 의의미파악 Architecture body 의개념파악 Entity declaration 의개념파악 Process 문의사용법 Variable 과 signal 의차이파악 Library, Use, Package 의사용법 2/53 간단한논리회로예제

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

Microsoft PowerPoint - VHDL08.ppt [호환 모드]

Microsoft PowerPoint - VHDL08.ppt [호환 모드] VHDL 프로그래밍 8. 조합논리회로설계 한동일 학습목표 테스트벤치의용도를알고작성할수있다. 간단한조합논리회로를설계할수있다. 하나의로직회로에대해서다양한설계방식을구사할수있다. 제네릭을활용할수있다. 로직설계를위한사양을이해할수있다. 주어진문제를하드웨어설계문제로변환할수있다. 설계된코드를테스트벤치를이용하여검증할수있다. 2/37 테스트벤치 (test bench) 테스트벤치

More information

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt

Microsoft PowerPoint - 3ÀÏ°_º¯¼ö¿Í »ó¼ö.ppt 변수와상수 1 변수란무엇인가? 변수 : 정보 (data) 를저장하는컴퓨터내의특정위치 ( 임시저장공간 ) 메모리, register 메모리주소 101 번지 102 번지 변수의크기에따라 주로 byte 단위 메모리 2 기본적인변수형및변수의크기 변수의크기 해당컴퓨터에서는항상일정 컴퓨터마다다를수있음 short

More information

Microsoft PowerPoint - VHDL06.ppt [호환 모드]

Microsoft PowerPoint - VHDL06.ppt [호환 모드] VHDL 프로그래밍 6. 부프로그램과패키지 한동일 학습목표 부프로그램의종류와차이점과활용방법에대해서배운다. 함수를정의하고호출하는방법을배운다. 프로시저를정의하고호출하는방법을배운다. 부프로그램오버로딩의개념을이해한다. 패키지의사용목적을배운다. 설계파일, 설계단위, 설계라이브러리의개념을이해한다. VHDL 의라이브러리구조를이해한다. 2/39 부프로그램 (subprogram)

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

Microsoft PowerPoint - chap04-연산자.pptx

Microsoft PowerPoint - chap04-연산자.pptx int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); } 1 학습목표 수식의 개념과 연산자, 피연산자에 대해서 알아본다. C의 를 알아본다. 연산자의 우선 순위와 결합 방향에

More information

Microsoft PowerPoint 자바-기본문법(Ch2).pptx

Microsoft PowerPoint 자바-기본문법(Ch2).pptx 자바기본문법 1. 기본사항 2. 자료형 3. 변수와상수 4. 연산자 1 주석 (Comments) 이해를돕기위한설명문 종류 // /* */ /** */ 활용예 javadoc HelloApplication.java 2 주석 (Comments) /* File name: HelloApplication.java Created by: Jung Created on: March

More information

Microsoft PowerPoint - lec2.ppt

Microsoft PowerPoint - lec2.ppt 2008 학년도 1 학기 상지대학교컴퓨터정보공학부 고광만 강의내용 어휘구조 토큰 주석 자료형기본자료형 참조형배열, 열거형 2 어휘 (lexicon) 어휘구조와자료형 프로그램을구성하는최소기본단위토큰 (token) 이라부름문법적으로의미있는최소의단위컴파일과정의어휘분석단계에서처리 자료형 자료객체가갖는형 구조, 개념, 값, 연산자를정의 3 토큰 (token) 정의문법적으로의미있는최소의단위예,

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

Microsoft PowerPoint - chap03-변수와데이터형.pptx

Microsoft PowerPoint - chap03-변수와데이터형.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num %d\n", num); return 0; } 1 학습목표 의 개념에 대해 알아본다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 @ Lesson 2... ( ). ( ). @ vs. logic data method variable behavior attribute method field Flow (Type), ( ) member @ () : C program Method A ( ) Method B ( ) Method C () program : Java, C++, C# data @ Program

More information

Microsoft PowerPoint - ch07 - 포인터 pm0415

Microsoft PowerPoint - ch07 - 포인터 pm0415 2015-1 프로그래밍언어 7. 포인터 (Pointer), 동적메모리할당 2015 년 4 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) Outline 포인터 (pointer) 란? 간접참조연산자

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Lecture 02 프로그램구조및문법 Kwang-Man Ko kkmam@sangji.ac.kr, compiler.sangji.ac.kr Department of Computer Engineering Sang Ji University 2018 자바프로그램기본구조 Hello 프로그램구조 sec01/hello.java 2/40 자바프로그램기본구조 Hello 프로그램구조

More information

Microsoft PowerPoint - Chapter_04.pptx

Microsoft PowerPoint - Chapter_04.pptx 프로그래밍 1 1 Chapter 4. Constant and Basic Data Types April, 2016 Dept. of software Dankook University http://embedded.dankook.ac.kr/~baeksj 이장의강의목표 2 기본자료형문자표현방식과문자자료형상수자료형변환 기본자료형 (1/8) 3 변수 (Variables)

More information

강의 개요

강의 개요 DDL TABLE 을만들자 웹데이터베이스 TABLE 자료가저장되는공간 문자자료의경우 DB 생성시지정한 Character Set 대로저장 Table 생성시 Table 의구조를결정짓는열속성지정 열 (Clumn, Attribute) 은이름과자료형을갖는다. 자료형 : http://dev.mysql.cm/dc/refman/5.1/en/data-types.html TABLE

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

PowerPoint Presentation

PowerPoint Presentation Class - Property Jo, Heeseung 목차 section 1 클래스의일반구조 section 2 클래스선언 section 3 객체의생성 section 4 멤버변수 4-1 객체변수 4-2 클래스변수 4-3 종단 (final) 변수 4-4 멤버변수접근방법 section 5 멤버변수접근한정자 5-1 public 5-2 private 5-3 한정자없음

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

chap 5: Trees

chap 5: Trees 5. Threaded Binary Tree 기본개념 n 개의노드를갖는이진트리에는 2n 개의링크가존재 2n 개의링크중에 n + 1 개의링크값은 null Null 링크를다른노드에대한포인터로대체 Threads Thread 의이용 ptr left_child = NULL 일경우, ptr left_child 를 ptr 의 inorder predecessor 를가리키도록변경

More information

1

1 1 1....6 1.1...6 2. Java Architecture...7 2.1 2SDK(Software Development Kit)...8 2.2 JRE(Java Runtime Environment)...9 2.3 (Java Virtual Machine, JVM)...10 2.4 JVM...11 2.5 (runtime)jvm...12 2.5.1 2.5.2

More information

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조 - Part2- 제 2 장다차원배열이란무엇인가 학습목차 2.1 다차원배열이란 2. 2 2 차원배열의주소와값의참조 2.1 다차원배열이란 2.1 다차원배열이란 (1/14) 다차원배열 : 2 차원이상의배열을의미 1 차원배열과다차원배열의비교 1 차원배열 int array [12] 행 2 차원배열 int array [4][3] 행 열 3 차원배열 int array [2][2][3]

More information

Microsoft PowerPoint - PL_03-04.pptx

Microsoft PowerPoint - PL_03-04.pptx Copyright, 2011 H. Y. Kwak, Jeju National University. Kwak, Ho-Young http://cybertec.cheju.ac.kr Contents 1 프로그래밍 언어 소개 2 언어의 변천 3 프로그래밍 언어 설계 4 프로그래밍 언어의 구문과 구현 기법 5 6 7 컴파일러 개요 변수, 바인딩, 식 및 제어문 자료형 8

More information

슬라이드 1

슬라이드 1 3 장. 선행자료 어휘원소, 연산자와 C 시스템 박종혁교수 UCS Lab Tel: 970-6702 Email: jhpark1@seoultech.ac.kr SeoulTech 2019-1 st 프로그래밍입문 (1) 2 목차 1.1 문자와어휘원소 1.2 구문법칙 1.3 주석 1.4 키워드 (Keyword) 1.5 식별자 (Identifier) 1.6 상수 (Integer,

More information

KNK_C03_Expr_kor

KNK_C03_Expr_kor Expressions adopted from KNK C Programming : A Modern Approach Operators 연산자 C 는표현식을많이사용함 표현식은변수와상수와연산자로구성됨 C 에는연산자의종류가다양함 1. arithmetic operators ( 수식연산자 ) 2. relational operators ( 관계연산자 ) 3. logical

More information

Microsoft PowerPoint - CH6.ppt [호환 모드]

Microsoft PowerPoint - CH6.ppt [호환 모드] II. VHDL 설계부 4 장. VHDL 개요 5 장. VHDL 설계구성 7 장. VHDL 모델링 8 장. VHDL 구문과예제 - 1 - 어휘요소 (Lexical Elements) 식별자, 리터럴, 예약어, 구분자로구분되어지며, 식별자와예약어의경우대소문자의구별이없다. 객체 (Objects) 류가있다. 데이터를저장하기위한기억장소를나타내며, 상수 / 변수 ( 파일

More information

컴파일러

컴파일러 YACC 응용예 Desktop Calculator 7/23 Lex 입력 수식문법을위한 lex 입력 : calc.l %{ #include calc.tab.h" %} %% [0-9]+ return(number) [ \t] \n return(0) \+ return('+') \* return('*'). { printf("'%c': illegal character\n",

More information

Microsoft PowerPoint - KNK_C03_Expr_kor

Microsoft PowerPoint - KNK_C03_Expr_kor Expressions adopted from KNK C Programming : A Modern Approach Operators 연산자 C 는표현식을많이사용함 표현식은변수와상수와연산자로구성됨 C 에는연산자의종류가다양함 1. arithmetic operators ( 수식연산자 ) 2. relational operators ( 관계연산자 ) 3. logical

More information

Microsoft PowerPoint - Chapter_02.pptx

Microsoft PowerPoint - Chapter_02.pptx 프로그래밍 1 1 Chapter 2. Types, Operators, and Expressions March, 2016 Dept. of software Dankook University http://embedded.dankook.ac.kr/~baeksj 이장의강의목표 2 변수의이해 C언어의표준키워드연산자소개키보드입력 변수의이해 (1/9) 3 덧셈예제 3 +

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

PowerPoint Presentation

PowerPoint Presentation public class SumTest { public static void main(string a1[]) { int a, b, sum; a = Integer.parseInt(a1[0]); b = Integer.parseInt(a1[1]); sum = a + b ; // 두수를더하는부분입니다 System.out.println(" 두수의합은 " + sum +

More information

Microsoft PowerPoint - Perpect C 02.ppt [호환 모드]

Microsoft PowerPoint - Perpect C 02.ppt [호환 모드] 02 C 프로그래밍기초 충남대학교이형주 1 C 프로그램구조 콘솔응용프로그램 2 프로그램실행순서 C 프로그램은여러함수의조합으로구성 함수란정해진규칙에의하여일련의작업을수행하는프로그램의단위 실행순서 main 함수는프로그램이실행되면가장먼저시작되는부분 모든함수내부에서는위에서아래로, 좌에서우로, 문장이위치한순서대로실행 3 전처리기 전처리기 (preprocessor) 미리처리하는프로그램으로,

More information

Microsoft PowerPoint - a10.ppt [호환 모드]

Microsoft PowerPoint - a10.ppt [호환 모드] Structure Chapter 10: Structures t and Macros Structure 관련된변수들의그룹으로이루어진자료구조 template, pattern field structure를구성하는변수 (cf) C언어의 struct 프로그램의 structure 접근 entire structure 또는 individual fields Structure는

More information

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi

[ 마이크로프로세서 1] 2 주차 3 차시. 포인터와구조체 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Functi 2 주차 3 차시포인터와구조체 학습목표 1. C 언어에서가장어려운포인터와구조체를설명할수있다. 2. Call By Value 와 Call By Reference 를구분할수있다. 학습내용 1 : 함수 (Function) 1. 함수의개념 입력에대해적절한출력을발생시켜주는것 내가 ( 프로그래머 ) 작성한명령문을연산, 처리, 실행해주는부분 ( 모듈 ) 자체적으로실행되지않으며,

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

PowerPoint Presentation

PowerPoint Presentation Package Class 1 Heeseung Jo 목차 section 1 패키지개요와패키지의사용 section 2 java.lang 패키지의개요 section 3 Object 클래스 section 4 포장 (Wrapper) 클래스 section 5 문자열의개요 section 6 String 클래스 section 7 StringBuffer 클래스 section

More information

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp

5장. JSP와 Servlet 프로그래밍을 위한 기본 문법(완성-0421).hwp 1 0 1.7 6 5 'A ' '/ u 4 4 2 2 ' " JS P 프로그래밍 " A ', 'b ', ' 한 ', 9, \ u d 6 5 4 ' c h a r a = 'A '; 0 1 0 2 0 3 0 4 0 5 0 6 0 7 0 8 0 9 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 1 8 1 9 < % @ p a g e c o n te n

More information

C++ Programming

C++ Programming C++ Programming 연산자다중정의 Seo, Doo-okok clickseo@gmail.com http://www.clickseo.com 목 차 연산자다중정의 C++ 스타일의문자열 2 연산자다중정의 연산자다중정의 단항연산자다중정의 이항연산자다중정의 cin, cout 그리고 endl C++ 스타일의문자열 3 연산자다중정의 연산자다중정의 (Operator

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 System Software Experiment 1 Lecture 5 - Array Spring 2019 Hwansoo Han (hhan@skku.edu) Advanced Research on Compilers and Systems, ARCS LAB Sungkyunkwan University http://arcs.skku.edu/ 1 배열 (Array) 동일한타입의데이터가여러개저장되어있는저장장소

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

Microsoft PowerPoint - c2.ppt

Microsoft PowerPoint - c2.ppt 프로그램과표준입출력 프로그램 (program) 자료를입력받아서이를처리하여출력을하는일을수행함 2 장 C 언어의기초 입력 프로그램 ( 처리 ) 출력 식별자, 예약어 자료형 상수와변수 수식 연산자 : 산술연산자 자료형변환 표준입출력 (standard input and output: stdio) 표준입력 : 키보드입력 표준출력 : 모니터또는터미널로출력 (cf) DOS,

More information

PowerPoint Presentation

PowerPoint Presentation 컴퓨터프로그래밍 Computer Programming 08 포인터기초 목차 1. 포인터변수와선언 2. 간접연산자 * 와포인터연산 3. 포인터형변환과다중포인터 컴퓨터프로그래밍 (Computer Programming) - 08 포인터기초 3 1. 포인터변수와선언 주소개념 주소 address 메모리공간은 8비트인 1 바이트마다순차적인고유한번호 메모리주소는저장장소인변수이름과함께기억장소를참조하는또다른방법

More information

Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드]

Microsoft PowerPoint - C프로그래밍-chap03.ppt [호환 모드] Chapter 03 변수와자료형 2009 한국항공대학교항공우주기계공학부 (http://mercury.kau.ac.kr/sjkwon) 1 변수와자료유형 변수 프로그램에서자료값을임시로기억할수있는저장공간을변수 (variables) 변수 (Variables) 는컴퓨터의메모리인 RAM(Random Access Memory) 에저장 물건을담는박스라고생각한다면박스의크기에따라담을물건이제한됨

More information

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2

목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 제 8 장. 포인터 목차 포인터의개요 배열과포인터 포인터의구조 실무응용예제 C 2 포인터의개요 포인터란? 주소를변수로다루기위한주소변수 메모리의기억공간을변수로써사용하는것 포인터변수란데이터변수가저장되는주소의값을 변수로취급하기위한변수 C 3 포인터의개요 포인터변수및초기화 * 변수데이터의데이터형과같은데이터형을포인터 변수의데이터형으로선언 일반변수와포인터변수를구별하기위해

More information

Modern Javascript

Modern Javascript ES6 - Arrow Function Class Template String Destructuring Default, Rest, Spread let, const for..of Promises Module System Map, Set * Generator * Symbol * * https://babeljs.io/ Babel is a JavaScript compiler.

More information

Microsoft PowerPoint - [2009] 02.pptx

Microsoft PowerPoint - [2009] 02.pptx 원시데이터유형과연산 원시데이터유형과연산 원시데이터유형과연산 숫자데이터유형 - 숫자데이터유형 원시데이터유형과연산 표준입출력함수 - printf 문 가장기본적인출력함수. (stdio.h) 문법 ) printf( Test printf. a = %d \n, a); printf( %d, %f, %c \n, a, b, c); #include #include

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

A Dynamic Grid Services Deployment Mechanism for On-Demand Resource Provisioning

A Dynamic Grid Services Deployment Mechanism for On-Demand Resource Provisioning C Programming Practice (II) Contents 배열 문자와문자열 구조체 포인터와메모리관리 구조체 2/17 배열 (Array) (1/2) 배열 동일한자료형을가지고있으며같은이름으로참조되는변수들의집합 배열의크기는반드시상수이어야한다. type var_name[size]; 예 ) int myarray[5] 배열의원소는원소의번호를 0 부터시작하는색인을사용

More information

03-JAVA Syntax(2).PDF

03-JAVA Syntax(2).PDF JAVA Programming Language Syntax of JAVA (literal) (Variable and data types) (Comments) (Arithmetic) (Comparisons) (Operators) 2 HelloWorld application Helloworldjava // class HelloWorld { //attribute

More information

Microsoft PowerPoint - hw8.ppt [호환 모드]

Microsoft PowerPoint - hw8.ppt [호환 모드] 8.1 데이터경로와제어장치 Chapter 8 데이터경로와제어장치 많은순차회로의설계는다음의두부분으로구성 datapath: data의이동및연산을위한장치 control unit에상태신호제공 control ol unit: datapath th 에서적절한순서로 data 이동및연산을수행할수있도록제어신호제공. 먼저, datapath를설계 다음에, control unit

More information

Microsoft PowerPoint - C++ 5 .pptx

Microsoft PowerPoint - C++ 5 .pptx C++ 언어프로그래밍 한밭대학교전자. 제어공학과이승호교수 연산자중복 (operator overloading) 이란? 2 1. 연산자중복이란? 1) 기존에미리정의되어있는연산자 (+, -, /, * 등 ) 들을프로그래머의의도에맞도록새롭게정의하여사용할수있도록지원하는기능 2) 연산자를특정한기능을수행하도록재정의하여사용하면여러가지이점을가질수있음 3) 하나의기능이프로그래머의의도에따라바뀌어동작하는다형성

More information

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드]

Microsoft PowerPoint - VHDL02_full.ppt [호환 모드] VHDL 프로그래밍 2. VHDL 언어사용해보기 한동일 학습목표 기존프로그래밍언어의간단한예를다룬다. VHDL 언어의간단한예를다룬다. 각언어의실제적인사용예를파악한다. 기존프로그래밍언어와비교되는 VHDL언어의차이점을이해한다. 엔티티선언의의미를파악한다. 아키텍처선언의의미를파악한다. VHDL 언어의문장구조를눈에익힌다. 디지털로직과이의 VHDL 표현과정을이해한다. 2/23

More information

쉽게

쉽게 Power Java 제 4 장자바프로그래밍기초 이번장에서학습할내용 자바프로그램에대한기초사항을학습 자세한내용들은추후에. Hello.java 프로그램 주석 주석 (comment): 프로그램에대한설명을적어넣은것 3 가지타입의주석 클래스 클래스 (class): 객체를만드는설계도 ( 추후에학습 ) 자바프로그램은클래스들로구성된다. 그림 4-1. 자바프로그램의구조 클래스정의

More information

슬라이드 1

슬라이드 1 -Part3- 제 4 장동적메모리할당과가변인 자 학습목차 4.1 동적메모리할당 4.1 동적메모리할당 4.1 동적메모리할당 배울내용 1 프로세스의메모리공간 2 동적메모리할당의필요성 4.1 동적메모리할당 (1/6) 프로세스의메모리구조 코드영역 : 프로그램실행코드, 함수들이저장되는영역 스택영역 : 매개변수, 지역변수, 중괄호 ( 블록 ) 내부에정의된변수들이저장되는영역

More information

C++-¿Ïº®Çؼ³10Àå

C++-¿Ïº®Çؼ³10Àå C C++. (preprocessor directives), C C++ C/C++... C++, C. C++ C. C C++. C,, C++, C++., C++.,.. #define #elif #else #error #if #itdef #ifndef #include #line #pragma #undef #.,.,. #include #include

More information

10주차.key

10주차.key 10, Process synchronization (concurrently) ( ) => critical section ( ) / =>, A, B / Race condition int counter; Process A { counter++; } Process B { counter ;.. } counter++ register1 = counter register1

More information

10 강. 쉘스크립트 l 쉘스크립트 Ÿ 쉘은명령어들을연속적으로실행하는인터프리터환경을제공 Ÿ 쉘스크립트는제어문과변수선언등이가능하며프로그래밍언어와유사 Ÿ 프로그래밍언어와스크립트언어 -프로그래밍언어를사용하는경우소스코드를컴파일하여실행가능한파일로만들어야함 -일반적으로실행파일은다

10 강. 쉘스크립트 l 쉘스크립트 Ÿ 쉘은명령어들을연속적으로실행하는인터프리터환경을제공 Ÿ 쉘스크립트는제어문과변수선언등이가능하며프로그래밍언어와유사 Ÿ 프로그래밍언어와스크립트언어 -프로그래밍언어를사용하는경우소스코드를컴파일하여실행가능한파일로만들어야함 -일반적으로실행파일은다 10 강. 쉘스크립트 쉘스크립트 쉘은명령어들을연속적으로실행하는인터프리터환경을제공 쉘스크립트는제어문과변수선언등이가능하며프로그래밍언어와유사 프로그래밍언어와스크립트언어 -프로그래밍언어를사용하는경우소스코드를컴파일하여실행가능한파일로만들어야함 -일반적으로실행파일은다른운영체제로이식되지않음 -스크립트언어를사용하면컴파일과정이없고인터프리터가소스파일에서명령문을판독하여각각의명령을수행

More information

슬라이드 1

슬라이드 1 3. 자료와변수 3.1 자료형 자료형 컴퓨터는숫자 (= 데이터 ) 를다룬다. 컴퓨터가다룰수있는숫자의유형이있다. C 언어에서의자료형 크기 (byte) 정수형 ( 문자형 ) char 1 정수형 실수형 ( 부동소수형 ) short 2 int 4 long 4 long long 8 float 4 double 8 long double 8 / 16 강 C 프로그래밍 3

More information

11장 포인터

11장 포인터 누구나즐기는 C 언어콘서트 제 9 장포인터 이번장에서학습할내용 포인터이란? 변수의주소 포인터의선언 간접참조연산자 포인터연산 포인터와배열 포인터와함수 이번장에서는포인터의기초적인지식을학습한다. 포인터란? 포인터 (pointer): 주소를가지고있는변수 메모리의구조 변수는메모리에저장된다. 메모리는바이트단위로액세스된다. 첫번째바이트의주소는 0, 두번째바이트는 1, 변수와메모리

More information

chap x: G입력

chap x: G입력 원형큐 (Circular Queue) [2] [3] [2] [3] [1] [4] [1] [4] [0] [5] front = 0, rear = 0 [2] [3] [0] [5] front = 0, rear = 3 [1] [4] [0] [5] front = 0, rear = 0 최대큐이용률 = MAX_Q_SIZE 1 3 장. 스택과큐 (Page 13) 원형큐의구현

More information

JAVA PROGRAMMING 실습 02. 표준 입출력

JAVA PROGRAMMING 실습 02. 표준 입출력 자바의기본구조? class HelloJava{ public static void main(string argv[]){ system.out.println( hello,java ~ ){ } } # 하나하나뜯어살펴봅시다! public class HelloJava{ 클래스정의 public static void main(string[] args){ System.out.println(

More information

윈도우즈프로그래밍(1)

윈도우즈프로그래밍(1) 제어문 (2) For~Next 문 윈도우즈프로그래밍 (1) ( 신흥대학교컴퓨터정보계열 ) 2/17 Contents 학습목표 프로그램에서주어진특정문장을부분을일정횟수만큼반복해서실행하는문장으로 For~Next 문등의구조를이해하고활용할수있다. 내용 For~Next 문 다중 For 문 3/17 제어문 - FOR 문 반복문 : 프로그램에서주어진특정문장들을일정한횟수만큼반복해서실행하는문장

More information

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A

예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = B = >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = >> tf = (A==B) % A 예제 1.1 ( 관계연산자 ) >> A=1:9, B=9-A A = 1 2 3 4 5 6 7 8 9 B = 8 7 6 5 4 3 2 1 0 >> tf = A>4 % 4 보다큰 A 의원소들을찾을경우 tf = 0 0 0 0 1 1 1 1 1 >> tf = (A==B) % A 의원소와 B 의원소가똑같은경우를찾을때 tf = 0 0 0 0 0 0 0 0 0 >> tf

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf("hihi\n"); } warning: conflicting types for functiona

4. #include <stdio.h> #include <stdlib.h> int main() { functiona(); } void functiona() { printf(hihi\n); } warning: conflicting types for functiona 이름 : 학번 : A. True or False: 각각항목마다 True 인지 False 인지적으세요. 1. (Python:) randint 함수를사용하려면, random 모듈을 import 해야한다. 2. (Python:) '' (single quote) 는한글자를표현할때, (double quote) 는문자열을표현할때사용한다. B. 다음에러를수정하는방법을적으세요.

More information

학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2

학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2 학습목표 함수프로시저, 서브프로시저의의미를안다. 매개변수전달방식을학습한다. 함수를이용한프로그래밍한다. 2 6.1 함수프로시저 6.2 서브프로시저 6.3 매개변수의전달방식 6.4 함수를이용한프로그래밍 3 프로시저 (Procedure) 프로시저 (Procedure) 란무엇인가? 논리적으로묶여있는하나의처리단위 내장프로시저 이벤트프로시저, 속성프로시저, 메서드, 비주얼베이직내장함수등

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770>

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770> 연습문제해답 5 4 3 2 1 0 함수의반환값 =15 5 4 3 2 1 0 함수의반환값 =95 10 7 4 1-2 함수의반환값 =3 1 2 3 4 5 연습문제해답 1. C 언어에서의배열에대하여다음중맞는것은? (1) 3차원이상의배열은불가능하다. (2) 배열의이름은포인터와같은역할을한다. (3) 배열의인덱스는 1에서부터시작한다. (4) 선언한다음, 실행도중에배열의크기를변경하는것이가능하다.

More information

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc

Microsoft Word - 제6장 Beyond Simple Logic Gate.doc 제 6 장 Beyond Simple Logic Gate 실험의목표 - MUX, DEMUX의동작을이해하도록한다. - encoder 와 decoder 의원리를익히고 MUX, DEMUX 와비교를해본다. - MUX 를이용하여조합회로를설계해본다. - tri-state gate 와 open-collector gate 의특성에대하여알아본다. 잘못된사용법에대하여어떤결과가발생하는지확인해본다.

More information

Microsoft PowerPoint - ch09 - 연결형리스트, Stack, Queue와 응용 pm0100

Microsoft PowerPoint - ch09 - 연결형리스트, Stack, Queue와 응용 pm0100 2015-1 프로그래밍언어 9. 연결형리스트, Stack, Queue 2015 년 5 월 4 일 교수김영탁 영남대학교공과대학정보통신공학과 (Tel : +82-53-810-2497; Fax : +82-53-810-4742 http://antl.yu.ac.kr/; E-mail : ytkim@yu.ac.kr) 연결리스트 (Linked List) 연결리스트연산 Stack

More information

Tcl의 문법

Tcl의 문법 월, 01/28/2008-20:50 admin 은 상당히 단순하고, 커맨드의 인자를 스페이스(공백)로 단락을 짓고 나열하는 정도입니다. command arg1 arg2 arg3... 한행에 여러개의 커맨드를 나열할때는, 세미콜론( ; )으로 구분을 짓습니다. command arg1 arg2 arg3... ; command arg1 arg2 arg3... 한행이

More information

Microsoft PowerPoint - chap-05.pptx

Microsoft PowerPoint - chap-05.pptx 쉽게풀어쓴 C 언어 Express 제 5 장수식과연산자 컴퓨터프로그래밍기초 이번장에서학습할내용 * 수식과연산자란? * 대입연산 * 산술연산 * 논리연산 * 관계연산 * 우선순위와결합법칙 이번장에서는수식과연산자를살벼봅니다. 컴퓨터프로그래밍기초 2 수식 수식 (expression) x + y x*x + 5*x + 6 (principal * interest_rate

More information

PowerPoint Template

PowerPoint Template 16-1. 보조자료템플릿 (Template) 함수템플릿 클래스템플릿 Jong Hyuk Park 함수템플릿 Jong Hyuk Park 함수템플릿소개 함수템플릿 한번의함수정의로서로다른자료형에대해적용하는함수 예 int abs(int n) return n < 0? -n : n; double abs(double n) 함수 return n < 0? -n : n; //

More information

PowerPoint Presentation

PowerPoint Presentation Package Class 3 Heeseung Jo 목차 section 1 패키지개요와패키지의사용 section 2 java.lang 패키지의개요 section 3 Object 클래스 section 4 포장 (Wrapper) 클래스 section 5 문자열의개요 section 6 String 클래스 section 7 StringBuffer 클래스 section

More information

Microsoft PowerPoint - chap06-1Array.ppt

Microsoft PowerPoint - chap06-1Array.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-1 참고자료 배열 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 배열의선언과사용 같은형태의자료형이많이필요할때배열을사용하면효과적이다. 배열의선언 배열의사용 배열과반복문 배열의초기화 유연성있게배열다루기 한빛미디어

More information

PowerPoint Presentation

PowerPoint Presentation 객체지향프로그래밍 클래스, 객체, 메소드 ( 실습 ) 손시운 ssw5176@kangwon.ac.kr 예제 1. 필드만있는클래스 텔레비젼 2 예제 1. 필드만있는클래스 3 예제 2. 여러개의객체생성하기 4 5 예제 3. 메소드가추가된클래스 public class Television { int channel; // 채널번호 int volume; // 볼륨 boolean

More information

<4D F736F F F696E74202D C31345FB0EDB1DE20BFB5BBF320C8B8B7CE20BCB3B0E82E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C31345FB0EDB1DE20BFB5BBF320C8B8B7CE20BCB3B0E82E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 14. 고급영상회로설계 한동일 학습목표 영상포맷을이해한다. 파일입출력기능을이해한다. 원하는형태와포맷으로파일을입출력할수있다. 시뮬레이터의부가기능을활용할수있다. 영상회로의설계표현과논리합성결과의상관관계를이해한다. 게이트를최소화할수있는설계방법을파악한다. 다양한영상회로설계문제를 VHDL 설계문제로변환할수있다. 효과적인검증환경을이용하여완성도높은설계를할수있다.

More information

PowerPoint Template

PowerPoint Template 10 포인터 1 주소 Address( 주소 ) 메모리에는그메모리의저장장소의위치를나타내는주소값 주소 (address) 는 1 바이트마다 1 씩증가하도록메모리에는연속적인번호가구성 2 주소연산자 & & 변수 변수의주소값을알아내려면변수앞에주소연산자 & (ampersand) 를이용 주소값이용장단점 주소값을이용하면보다편리하고융통성있는프로그램이가능 그러나복잡하고어려운단점

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 7 장 Flip-Flops and Registers 실험의목표 - S-R Latch 의동작을이해하도록한다. - Latch 와 Flip-flop 의차이를이해한다. - D-FF 과 JK-FF 의동작원리를이해한다. - Shift-register MSI 의동작을익히도록한다. - Timing 시뮬레이션방법에대하여습득한다. 실험도움자료 1. Universal Shift

More information

프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어

프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어 개나리 연구소 C 언어 노트 (tyback.egloos.com) 프로그램을 학교 등지에서 조금이라도 배운 사람들을 위한 프로그래밍 노트 입니다. 저 역시 그 사람들 중 하나 입니다. 중고등학교 시절 학교 도서관, 새로 생긴 시립 도서관 등을 다니며 책을 보 고 정리하며 어느정도 독학으르 공부하긴 했지만, 자주 안하다 보면 금방 잊어먹고 하더라구요. 그래서,

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

슬라이드 1

슬라이드 1 2 장. 어휘원소, 연산자와 C 시스템 박종혁교수 UCS Lab Tel: 970-6702 Email: jhpark1@seoultech.ac.kr SeoulTech 2018-1 st 프로그래밍입문 (1) 2 목차 2.1 문자와어휘원소 2.2 구문법칙 2.3 주석 2.4 키워드 (Keyword) 2.5 식별자 (Identifier) 2.6 상수 (Integer,

More information

<4D F736F F F696E74202D20C1A633C0E52043C7C1B7CEB1D7B7A5B1B8BCBABFE4BCD2>

<4D F736F F F696E74202D20C1A633C0E52043C7C1B7CEB1D7B7A5B1B8BCBABFE4BCD2> 쉽게풀어쓴 C 언어 Express 제 3 장 C 프로그램구성요소 이번장에서학습할내용 * 주석 * 변수, 상수 * 함수 * 문장 * 출력함수 printf() * 입력함수 scanf() * 산술연산 * 대입연산 이번장에서는 C 프로그램을이루는구성요소들을살펴봅니다. 일반적인프로그램의형태 데이터를받아서 ( 입력단계 ), 데이터를처리한후에 ( 처리단계 ), 결과를화면에출력

More information

Microsoft PowerPoint - e pptx

Microsoft PowerPoint - e pptx Import/Export Data Using VBA Objectives Referencing Excel Cells in VBA Importing Data from Excel to VBA Using VBA to Modify Contents of Cells 새서브프로시저작성하기 프로시저실행하고결과확인하기 VBA 코드이해하기 Referencing Excel Cells

More information

1. auto_ptr 다음프로그램의문제점은무엇인가? void func(void) int *p = new int; cout << " 양수입력 : "; cin >> *p; if (*p <= 0) cout << " 양수를입력해야합니다 " << endl; return; 동적할

1. auto_ptr 다음프로그램의문제점은무엇인가? void func(void) int *p = new int; cout <<  양수입력 : ; cin >> *p; if (*p <= 0) cout <<  양수를입력해야합니다  << endl; return; 동적할 15 장기타주제들 auto_ptr 변환함수 cast 연산자에의한명시적형변환실행시간타입정보알아내기 (RTTI) C++ 프로그래밍입문 1. auto_ptr 다음프로그램의문제점은무엇인가? void func(void) int *p = new int; cout > *p; if (*p

More information

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1

2 장수의체계 1. 10진수 2. 2진수 3. 8진수와 16진수 4. 진법변환 5. 2진정수연산과보수 6. 2진부동소수점수의표현 한국기술교육대학교전기전자통신공학부전자전공 1 장수의체계. 진수. 진수 3. 8진수와 6진수 4. 진법변환 5. 진정수연산과보수 6. 진부동소수점수의표현 진수 진수표현법 v 기수가 인수 v,,, 3, 4, 5, 6, 7, 8, 9 사용 9345.35 = 9 3 4 5 3. 5. = 9 3 3 4 5 3-5 - v 고대로마의기수법에는 5 진법을사용 v 진법의아라비아숫자는인도에서기원전 세기에발명 진법을나타내는기본수를기수

More information

Chapter 4. LISTS

Chapter 4. LISTS 6. 동치관계 (Equivalence Relations) 동치관계 reflexive, symmetric, transitive 성질을만족 "equal to"(=) 관계는동치관계임. x = x x = y 이면 y = x x = y 이고 y = z 이면 x = z 동치관계를이용하여집합 S 를 동치클래스 로분할 동일한클래스내의원소 x, y 에대해서는 x y 관계성립

More information

1.2 자료형 (data type) 프로그램에서다루는값의형태로변수나함수를정의할때주로사용하며, 컴퓨터는선언된 자료형만큼의메모리를확보하여프로그래머에게제공한다 정수 (integer) 1) int(4 bytes) 연산범위 : (-2 31 ) ~ (2 31 /2)-

1.2 자료형 (data type) 프로그램에서다루는값의형태로변수나함수를정의할때주로사용하며, 컴퓨터는선언된 자료형만큼의메모리를확보하여프로그래머에게제공한다 정수 (integer) 1) int(4 bytes) 연산범위 : (-2 31 ) ~ (2 31 /2)- 1.2 자료형 (data type) 프로그램에서다루는값의형태로변수나함수를정의할때주로사용하며, 컴퓨터는선언된 자료형만큼의메모리를확보하여프로그래머에게제공한다. 1.2.1 정수 (integer) 1) int(4 bytes) 연산범위 : (-2 31 ) ~ (2 31 /2)-1 연산범위이유 : 00000000 00000000 00000000 00000000의 32

More information

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - o8.pptx 메모리보호 (Memory Protection) 메모리보호를위해 page table entry에 protection bit와 valid bit 추가 Protection bits read-write / read-only / executable-only 정의 page 단위의 memory protection 제공 Valid bit (or valid-invalid bit)

More information

thesis

thesis ( Design and Implementation of a Generalized Management Information Repository Service for Network and System Management ) ssp@nile nile.postech.ac..ac.kr DPE Lab. 1997 12 16 GMIRS GMIRS GMIRS prototype

More information

Microsoft PowerPoint - chap06-2pointer.ppt

Microsoft PowerPoint - chap06-2pointer.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-2 참고자료 포인터 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 포인터의정의와사용 변수를선언하는것은메모리에기억공간을할당하는것이며할당된이후에는변수명으로그기억공간을사용한다. 할당된기억공간을사용하는방법에는변수명외에메모리의실제주소값을사용하는것이다.

More information

Javascript.pages

Javascript.pages JQuery jquery part1 JavaScript : e-mail:leseraphina@naver.com http://www.webhard.co.kr I.? 2 ......,,. : : html5 ; ; .

More information

ABC 2장

ABC 2장 3 장 C 프로그램을이루는구성요소 김명호 내용 주석문 토큰 키워드 식별자 상수 문자열상수 구두자 1 구문 Syntax 올바른프로그램을만들수있게하는규칙 컴파일러 C 프로그램이구문에맞는지검사 오류가있다면, 오류메시지출력 오류가없다면, 목적코드생성 전처리기 컴파일러이전에호출 2 컴파일러 컴파일과정 C 프로그램 토큰으로분리 토큰을목적코드로변환 토큰종류 : 키워드,

More information