Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 1 1 강원대학교공학대학전자공학과 남시병

Size: px
Start display at page:

Download "Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 1 1 강원대학교공학대학전자공학과 남시병"

Transcription

1 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 1 1 강원대학교공학대학전자공학과 남시병

2 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 2 2 Microprocessor & embedded 관련교과목교육과정 학기관련교과목내용 2-1 Digital 공학 2-2 Microprocessor 3-1 Microprocessor 실습및설계 3-2 Interface 4-1 Embedded System VHDL( 디지털시스템설계 ) 논리회로, 레지스터, 카운터등 8bit Microprocessor 기초 Atmega128 interface Computer System Design & Interface 4-2 Embedded System 응용

3 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 3 3 목 차 1. Introduction to Microprocessor 2. Microprocessor의구성요소와 CPU 내부구조 3. CPU 외부신호 4. Memory 5. Microprocessor memory map 6. Instruction Cycle 과 Addressing Mode 7. Interrupt 8. 중간고사 9. Instruction Set 10. 주변장치 (PIO) 11. CTC, DMA 12. SIO 13. KEYBOARD 14. AD/DA 15. 기말고사

4 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 4 4 MICROPROCESSOR Introduction to Microprocessor

5 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 5 5 학습개요 학습목표 Microprocessor 일반적인컴퓨터와마이크로프로세서의차이점에대해알아보 고마이크로프로세서의역사적인배경에대해설명한다. 학습목차 Computer 역사 컴퓨터와인간 컴퓨터의발전방향 Mini Computer Microprocessor 출현 Microprocessor(CPU) 의발전사 Intel 사의 Microprocessor 발전현황

6 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 6 6 Computer 역사 1) Computer 의역사 _1 Computer 의원조 : 기원전 3000 년수판 ( 가, 감, 승, 제 ) 고대인들은셈을하기위하여손과손가락을사용 땅에적당한깊이의작은구멍을몇개파고, 그안에조약돌이나조개껍질 등을나타내고자하는수만큼담아서표시 또는염주알 (beads) 이쓰이기도했으며이는후에주판으로발전 주판은기원전 3 천년경에메소포타미아 (Mesopotamia) 에서쓰인이래세계여러나라에서쓰이게되었으며, 지금까지도일부국가에서사용 17(1642) 세기프랑스 Pascal : 탁상용계산기 ( 기계식 adding machine) 파스칼 (Blaise Pascal : : 프랑스 ) 은수학자이면서물리학자, 철학자, 종교사상가로서 1642년에가감산을할수있는계산기를발명 이계산기는 0 에서터 9까지표시할수있는 10개의톱니를가진톱니바퀴가여러개있어서이들로써가감산을하도록만들어졌음.

7 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 7 7 Computer 역사 1) Computer 의역사 _1 라이프니쯔의계층통 (Leibniz's Wheel-1673) 라이프니쯔 (Gattfried Wilhelm von Leibniz : : 독일 ) 는당시독 일의세계적인철학자이며수학자, 물리학자로서외교관이기도했던그는가 감산뿐만아니라, 가산의연속으로승산을할수있는계층통을만들었으나 제작과사용의불편으로널리쓰이지는못함 영국수학자 Babbage : 치차계산기 ( 프로그램내장방식제안 ) 챨스바베지의해석기관 (Charles Babbage-Analytical Engine-1833), 바베 지 (Charles Babbage : : 영국 ) 는캠브리지대학의수학교수로천 문학, 지질학, 고고학등다른학문에도관심이많았으며, 특히 1823 년에는계차법의원리를이용하여다항식을전개하는계차기관 (Difference Engine) 을만들었고 1833 년에는세계최초의자동계산기인해석기관 (Analytical Engine) 을설계 제작함으로써컴퓨터개발에큰공적을남김. 이계산장치는범용적인자동축차방식의계산기로서, 오늘날의계산기와비슷한기억연산, 제어및입출력의기능을갖도록설계되었으며, 다음과같은 4 개의부분으로이루어짐. 밀 (mill)/ 스토어 (store)/ 제어기구 / 입출력기구

8 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 8 8 Computer 역사 1) Computer 의역사 _1 바베지의치차계산기구조 Mill Store Print 와 ( 산술연산기능 ) 명령어 ( 저장기능 ) Card punch OP cards Variable ( 사칙연산 ) cards 프로그램

9 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 9 9 Computer 역사 1) Computer 의역사 _1 펀치카드시스템 (Punch card system) 미국통계국의홀러리스 (Herman Hollerith : ) 박사가고안하여 1890년미국여론조사에사용함으로써큰효과를본자료분류및분석시스템 카드분류기 (sorter) 와회로개폐장치 (circuiting closing device) 및기계적계수기로구성 최초의자동계산기 MARK 년대미국의하버드대학교물리학교수인에이큰 (Howard Aiken : ) 이 IBM 사의지원으로기계식과전기식을혼합한전기기계식 (electromechanical) 컴 퓨터를만들었으며이를 MARK 1 이라고불렀다. MARK 1 은 72 개의톱니바퀴와 3,000 개의릴레이 (relay), 천마력의모터를사용하여 23 자리 (digit) 의 10 진수계산을수초이내에할수있었다. 연산및제어는천공된종이테이프를사용하였으며, 자동축차방식으로처리되는완전자동계산기로서 " 자동순 차제어계산기 (Automatic Sequence Controlled Calculator) " 라고불렀다.

10 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University The Manchester Mark 1

11 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Harvard Mark I Computer - Left Segment

12 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Computer 역사 1) Computer 의역사 _1 최초전자계산장치 ENIAC >> 1946 미국최초전자계산장치 ENIAC (Electronic Numerical Integrator and Calculator) : 18,800 개진공관 1946년미국최초전자계산장치인 ENIAC(Electronic Numerical Integrator and Calculator) 만들어지게되었으며 ENIAC은미국의펜실바니아대학교의에커트박사 (Dr. J. Presper Eckert) 와모클리박사 (Dr. John W. Mauchly) 에의하여 1946년에완성되었으며, 주요부품으로그림 1-7과같은진공관 18,800개와 1,500개의릴레이및그밖에많은부품들을사용하였다, 이는소비전력이약 150Kw가소모되었으며무게만해도 30톤이나되었다. 처리능력측면에서는매초 5,000번의가감산과 360번의승산, 170번의제산을처리할수있었으며탁상용계산기로 20분걸리는업무량을약 10초만에함으로서계산기분야의비약적인발전을가져왔다.

13 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 13 13

14 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 14 14

15 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 15 15

16 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Computer 역사 2) Computer 의역사 _ 요약 기원전 3000 년 Computer 의원조 : 수판 ( 가, 감, 승, 제 ) 1642 프랑스 Pascal : 탁상용계산기 ( 기계식 adding machine) 1801 Jacquard : 천공카드 ( 옷감에무늬를염색하기위한천공카드 ) 1822 영국수학자 Babbage : 치차계산기 ( 프로그램내장방식제안 ) 1944미국의에이큰 : MARK 1( 계식과전기식을혼합한전기기계식컴퓨터 ) 미국최초전자계산장치 ENIAC(Electronic Numerical Integrator and Calculator) : 18,800개진공관 Stored-program 방식 (Program 내장방식 ) 채택 Von Neumann이 EDSAC개발최초의상업용컴퓨터인 UNIVAC I 1세대 ( ) 진공관 ENIAC, UNIVAC, EDVAC 2세대 ( ) 트랜지스터 IBM1401 3세대 (1960년대중반 ) 대규모집적회로 (LSI) IBM 360 4세대 (1970년대초반 ) VLSI IBM 370

17 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 세대 1 세대 2 세대 3 세대 4 세대 연대 소자진공관트랜지스터집적회로 대규모집적회로 (LSI) 초고밀도집적회로 (VLSI) 처리속도 1/100 초 (ms) 1/100 만초 ( μs ) 1/10 억초 (ns) 1/1 조초 (ps) 기억자치자기드럼자기코아 반도체기억소자 대규모집적회로 주요컴퓨터 ENIAC, UNIVAC, EDVAC BM1401 IBM 360 계열 IBM 370 크기초대형대형소형초소형

18 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Computer 역사 3) 세대별소자변천과정 VLSI LSI IC 4 세대 TR 3 세대 진공관 2 세대 1 세대

19 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Computer 의발전방향 컴퓨터의분류및발전방향 소형컴퓨터 통신접속성 NC Client NetPC Notebook PC Workstation Mainframe 대형컴퓨터 이동성 PDA (Personal Digital Assistant) Supercomputer 슈퍼컴퓨터 컴퓨팅 Power Embedded system microprocessor 수백 MIPS ~ GIPS (Million/Giga Instructions per Second) 수백 Mega FLOPS ~ Tera FLOPS (Mega Floating-Point Operations per Second)

20 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor 출현 Microprocessor 출현배경 들고다니며필요할때마다꺼내계산할수있는손바닥만한작은컴퓨터는어떻게만들까? 테드호프 (Ted Hoff)? 1969 년인텔의연구개발자테드호프박사는슈퍼컴퓨터의중앙처리장치 (CPU) 를대신할수있는초소형연산기에대해고민하고있었다. 때마침인텔의경영진들은일본의부지컴이라는계산기를만드는전자회사로부터전자식탁상시계 CPU 를 12 개의칩으로만들어줄것을요구받았다. 그러나인텔은당시자본금이나연구인력이적었기때문에 12 개의칩을만드는것은상당히어려웠다. 생각끝에호프박사는부지컴이요구한기능을하나의실리콘칩에모두집적화할수있는방법을고안해냈다. 그러나부지컴이파산하면서인텔의경영진들은고민끝에승부수를던졌다 를독자브랜드로출시 칩안에컴퓨터가있다 는마케팅전략을세우고공격적인영업을펼침 프로그램만바꾸면다양한연산기능을수행할수있고전혀다른용도의제품개발이가능하다는장점이알려지면서이조그만마이크로프로세서는폭발적인인기를얻었다 이것이바로마이크로프로세서 (MPU:Micro Processor Unit) 의출현배경이다.

21 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 History of microprocessor 마이크로프로세서시장경쟁체제도입 Z 개의트랜지스터로구성됐고속도는 108 khz 1972 년 8 비트마이크로프로세서 8008 이등장, 4004 는 4040 으로 1973 년 8 비트상용제품인 8080 개발 8080 이본격적인상용화 8080 은버클리대학컴퓨터공학과교수였던게리킬달이인텔에입사하면서개발한전용운용체계 CP/M 이보급확대되면서각종연산기기의 CPU 로널리사용됐다 자일로그사 8080 의완전상위호환 CPU 인 Z80 을개발 모토로라도 6800 이라는 8 비트마이크로프로세서를개발 모스텍사도자체개발한 6502 개발

22 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor-based microcomputer History of microprocessor 년 16 비트마이크로프로세서인인텔의 8086 인텔은자사의칩을활용한새로운개념의컴퓨터에대해서고민. 한엔지니어가인텔의창시자인고든무어를찾아와일반가정에서도사용할수있도록키보드와모니터를장착한컴퓨터를내놓자고제안했다 년인텔은 IBM 과함께 16 비트 8088 마이크로프로세서를탑재한최초의개인용컴퓨터 PC 5150 을내놓으면서 PC 혁명을일으켰다. 인텔마이크로프로세서와 IBM PC 그리고 MS 운용체계호환이라는세계표준을급부상시키는역할을했다. Z8000 자일로그사 16 비트 Z8000 을내놓았다 모토로라의 MC68000 모토로라는인텔의공략에대응하기위해 91 년애플, IBM 과공동으로고성능프로세서인 파워 PC 개발에돌입, 독자적인영역을구축하기시작했다

23 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 4BIT MICROCONTROLLER 1968 년 최초의마이크로프로세서 4004 출시 데이터버스가 4 비트 개의트랜지스터로구성되었다. CPU 의속도는 108 khz Intel 4004 LSI 기술발전으로소형계산기나 CRT 등의주변장치제어용으 로사용되었다 4bit Microprocessor Data Input Data A 4 비트 Data Input Data B 4 비트 연산장치 제어장치 Data Output 4 비트 구조적인특징 : 입력데이터버스선과출력데이터버스선이분리되어있는구조이다.

24 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 그림 마이크로컨트롤러외형및내부구조

25 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 8BIT MICROPROCESSOR 1972년 : 8008 출시 data bus(8bit), address bus(16bit) CPU 종류 : Intel 8080,8085, Zilog-80, Motorola 6800, Apple 6502 애플 (APPLE) 컴퓨터 : 1980년대에전세계적으로인기를끌었던 8비트컴퓨터 (6502). 주로제어목적으로사용 8bit Microprocessor Data bus(8bit) 연산장치 제어장치 Data Inputs/output 구조적인특징 : 입력데이터버스선과출력데이터버스선이동일한버스를 사용하는구조.

26 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 26 26

27 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 16BIT MICROPROCESSOR data bus(16bit), address bus(20bit) 1978년 CPU 종류 : Intel 8086, 8088, Zilog Z8000, Motorola M 비트 CPU는 16비트단위로자료를처리할수있다. 덕분에 8비트컴퓨터에비해서상당한성능개선과처리용량의향상이이루어졌다. 1980년최초의 16비트컴퓨터는 IBM PC XT이다. 인텔은 16비트프로세서인 8086을개발했으나생산비의상승때문에기능을축소시킨 8088을만들었고, 결국 IBM PC XT에 8088을장착하게되었다 메모리용량을극복하기위하여세그먼트 (segment) 도입 연산전용보조프로세서 (co_processor) 를장착하여연산기능향상 80286은한때 286 컴퓨터 (IBM AT (Advanced Technology, 6 or 8MHz)) 에사용되었고, 8086에비해서 4배가량의성능향상이이루어진제품으로메모리지원강화, 동시에여러작업을수행할수있는멀티타스킹 (multi-tasking) 지원이가능해졌다. 주로개인용컴퓨터로사용

28 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 16BIT MICROPROCESSOR 16bit Microprocessor(8088,80286) Data bus(16bit) 연산장치 제어장치 세그먼트 (segment) 도입멀티타스킹지원가능 Co-processor 사용 연산전용프로세서사용 (8087,80287) 구조적인특징 : 연산전용보조프로세서 (co_processor) 를장착하여연산기능향상

29 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University (a) 8088 MPU 그림 Interl 8086, 외형 (2) MPU

30 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 32BIT MICROPROCESSOR 1985 년대중반 Intel 386(80386), 486(80486), 펜티엄 (Pentium), 펜티엄프로, 펜티엄 II 는모두 32 비트프로세서이다. Z80000, M ( 줄여서 486) 까지는 32 비트단위로자료를처리할수있었지만, 펜티엄에서는 32 비트정수연산기를두개내장하고있으므로한번에두개의자료를동시에처리할수있게되었다. 초기에는펜티엄을 64 비트프로세서라고과장하는경우도있었지만, 실제로는 32 비트프로세서이다. CPU 클럭증가로속도향상 (33MHz 이상 )

31 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 32BIT MICROPROCESSOR 32bit Microprocessor(80386) 연산장치 Data bus(32bit) 제어장치 Co-processor 사용 가상메모리기법도입 논리적메모리용량한계극복 구조적인특징 : 논리적메모리용량한계를극복하기위하여가상메모리기법도입

32 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 그림 Interl 외형

33 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 32BIT MICROPROCESSOR 32bit Microprocessor(80486) 연산장치 Data bus(32bit) 제어장치 (32bit) Co-processor 사용 캐쉬메모리 캐쉬메모리탑재속도향상 가상메모리 구조적인특징 : RISC 기법도입, 속도향상 5 단계파이프라이닝기법

34 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 그림 Interl 486 외형및내부구조

35 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 32BIT MICROPROCESSOR 32bit Microprocessor(586:Pentium) Data bus(32bit) 연산장치제어장치 (64bit) Co-processor 사용 캐쉬메모리 캐쉬메모리탑재속도향상 가상메모리 구조적인특징 : CPU 내부버스를 64 비트로확장 MMX 기법도입

36 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 그림 펜티엄외형및내부구조

37 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor(CPU) 의발전사 Microprocessor(CPU) 의발전사 4BIT 8BIT 16BIT 32BIT 64BIT 64BIT MICROPROCESSOR 한번에 64 비트로자료를처리해서성능개선. 워크스테이션, 서버급으로사용가능 IMB686, ALPHA CHIP 등 64bit Microprocessor(PentiumII 이상 ) 연산장치 제어장치 (64bit) Data bus(64bit) Co-processor 사용 캐쉬메모리 가상메모리 구조적인특징 : 입출력데이터버스선이 64 비트로구성됨

38 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 그림 펜티엄 II 외형및내부구조

39 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Microprocessor 기본구조 Micro Computer 기본구조 Mini-computer 보다더적다는표현으로 Micro 를사용 Micro Computer Internal BUS CPU MEMORY I/O interface 주변장치 : 보조기억장치입력기기출력기기 Microprocessor (MPU or CPU) Control ( 제어장치 ) 컴퓨터의모든기능제어 Arithmetic ( 연산장치 ) +, -, *, /(4 칙연산 )

40 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Intel 4004 microprocessor(1971) 최초의 4 비트마이크로프세서로서 2300 여개의트랜지스터로구성되었으며속도응 100 khz에불과하였으며, 마이크로프로세서라기보다는마이크로콘트롤러 (microcontroller) 로불리웠다 4004 CPU designer Fredericco Faggin

41 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Intel 8008 microprocessor(1972) 8 비트마이크로프로세서로서 4004 와비교해서수배이상의성능향상을이룬제품으로 3,300 개의트랜지스터가집적되었다. Intel 8080 microprocessor(1974) 8 비트마이크로프로세서로서버클리대학컴퓨터공학과교수였던게리킬달이인텔에입사하면서개발한전용운용체계 CP/M 이보급확대되면서각종연산기기의 CPU 로널리사용되었으며, 최초의개인용컴퓨터에사용되었다 Intel 8086,8088 microprocessor(1978) 16 비트마이크로프로세서로서 IBM 사에서 IBM PC 는이름으로개인용컴퓨터를출시하였다. 16 비트의내부레지스터와 8 비트데이터버스운영체제로 80 년대초 16 비트급개인용컴퓨터를주도한 XT 급 IBM PC 로사용되었다. 이때 CPU 의클럭은 4.77MHz 에서 8MHz 가지향상되었다. Intel microprocessor(1982) 16 비트마이크로프로세서로서 IBM 사에서 IBM PC 는이름으로개인용컴퓨터를출시하였다.

42 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Intel 386TM microprocessor(1985) 32 비트마이크로프로세서로서다중작업 (multi tasking) 이가능하였다. 인텔 386DX processor 33MHz

43 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Intel 486TM DX CPU microprocessor(1989) 연산전용프로세서를탑재하여 CPU 에서연산하였던복잡한수학식을전용프로세서가분담하게리시간을획기적으로단축하였다. Intel Pentium Processor(1993) 사운드, 화상, 영상등의멀티미디어처리기능이강화되어개인용컴퓨터의성능을향상시켰다 Pentium Pro Processor(1995) 32 비트서버와워크스테이션급의컴퓨터기능이가능하였으며, 고속 computer-aided design, mnical engineering and scientific computation 등을지원하고, 속도를높이기위하여캐쉬메모리를탑재하였다.

44 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Pentium II Processor(1997) 비디오, 오디오, 그래픽데이터들을효과적으로처리하기위하여 Intel MMX 기술을도입하였다.

45 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Pentium III Processor(1999) 3 차원그래픽과동영상을더욱빠르게실행시킬수있는새로운명령어체계인 KNI(Katmai New Instruction) 를포함하고있다. CPU 와메모리간의데이터접속속도가빨라졌으므로전체적으로시스템성능이향상되었다

46 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Pentium 4 Processor(2000) 1.5GHz 의고속 CPU 로강화된온라인게임, 디지털비디오, 사진, 음성인식및 MP3 인코딩등을위하여하이퍼파이프라인형기술이도입되었고, 빠른실행엔진그리고펜티엄 III 보다 3 배나더우수한대역폭을제공하는 32 비트마이크로프로세서기능들로설계되었다

47 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Itanium Processor(2001) 64 비트 EPIC 구조로설계되어다량의데이터세트와계산집약형트랜젝션을처리할수있는개방형플렛폼솔루션을제공한다

48 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Celeron Processor(2004) 제조단가를낮춘보급형 CPU로개발.

49 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 듀얼코어인텔프로세서 (2006) 듀얼코어기술채택높은성능뛰어남효율성.

50 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Intel Core 2 Quad 프로세서 (2007) 쿼드코어기술채용고성능프로세서개발, 높은성능뛰어난효율성.

51 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Intel Core i7 프로세서 (2008) 차세대인텔마이크로아키텍쳐기술채용고성능프로세서개발, 높은성능뛰어난효율성. Intel Core i7 Processor i GHz

52 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Intel Core i5 프로세서 (2009) 차세대인텔마이크로아키텍쳐기술채용고성능프로세서개발, 높은성능뛰어난효율성고급그래픽기능향상, 저가공략. intel(r)core(tm) 3.30GHz

53 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Intel 사의 Microprocessor 발전현황 Intel Core i3 프로세서 (2010) 차세대인텔마이크로아키텍쳐기술채용고성능프로세서개발, 가격대비성능향상을위함듀얼코어 / 쓰레드 4개 / 64(32) 비트 / 3.3GHz ( 쓰레드는차선이나작업라인이라고생각하시면됨 )

54 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel 사의 Microprocessor 발전현황 Processor Series No menclatu re Production Date Supporte d Feature Clock Rat s (Instruct e ion Set) Fabrication TDP Number of Cores Bus Speed L1 Cache L2 Cache L3 Cache Intel Pentium N/A MHz MHz 800 nm nm Unknown Single 50 MHz - 66 MHz 16 KiB N/A N/A Intel Pentium MM X N/A MHz MH z 350 nm nm Unknown Single 60 MHz - 66 MHz 32 KiB N/A N/A Intel Atom Z5xx, Z6x x, N2xx, 2xx, 3xx, N4xx, D4 xx, D5xx, N5xx, D2 xxx, N2xx x (as Centri no Atom) pres ent (as Ato m) 800 MHz G Hz 32 nm, 45 n m 0.65 W - 13 W Single, Dou ble 400 MHz, 533 MHz, 667 MHz, 2.5 GT/s 56 KiB pe r core 512 KiB - 1 MiB N/A

55 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel Celeron 3xx, 4xx, 5xx pre sent 45 nm, 6 5 nm, MHz 0 nm, GH 0 nm, 18 z 0 nm, 25 0 nm 5.5 W - 86 W Single, D ouble 66 MHz, 100 MHz, 1 33 MHz, 400 MHz, 5 33 MHz, 800 MHz 8 KiB ~ 6 4 KiB per c ore 0 KiB - 1 MiB 0 KiB - 2 MiB Intel Pentium Pro 52x MHz M Hz 350 nm, 500 nm 29.2 W - 47 W Single 60 MHz, 66 MHz 16 KiB 256 KiB, 512 KiB, 1024 KiB N/A Intel Pentium II 52x MHz M Hz 250 nm, 350 nm 16.8 W W Single 66 MHz, 100 MHz 32KiB 256 KiB KiB N/A Intel Pentium III 52x, 53x MHz GH z 130 nm, 180 nm, 250 nm 17 W W Single 100 MHz, 133 MHz 32 KiB 256 KiB KiB N/A

56 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel Xeon n3xxx, n5x xx, n7xxx pr esent 400 MHz GHz 45 nm, 6 5 nm, 9 0 nm, 13 0 nm, 18 0 nm, 25 0 nm 16 W W Single, Do uble, Qua d, Hexa, Octa 100 MHz, 133 MHz, 400 MHz, 533 MHz, 667 MHz, 800 MHz, 1066 MHz, 1333 MHz, 1600 MHz, 4.8 GT/s, 5.86 GT/s, 6.4 GT/s 8 KiB ~ 6 4 KiB per core 256 KiB - 12 MiB 4 MiB MiB Pentium 4 5xx, 6xx GHz GHz 65 nm, 9 0 nm, 13 0 nm, 18 0 nm 21 W W Single 400 MHz, 533 MHz, 800 MHz, 1066 MHz 8 KiB KiB 256 KiB - 2 MiB 2 MiB

57 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Pentium 4 Extrem e Edition 5xx, 6xx GHz GHz 90 nm, 130 nm 92 W W Single 800 MHz, MHz 8 KiB 512 KiB - 1 MiB 0 KiB - 2 M ib Pentium M 7xx MH z GHz 90 nm, 130 nm 5.5 W - 27 W Single 400 MHz, 53 3 MHz 32 KiB 1 MiB - 2 Mi B N/A Pentium D/EE 8xx, 9xx G Hz GHz 65 nm, 90 nm 533 MHz, W W Double 0 MHz, MHz 16 KiB per core 2 1 MiB MiB N/A Intel Pentium Dua l-core E2xxx, E3xxx, E5xxx, T2xxx, T3xxx GHz GHz 45 nm, 65 nm 10 W - 65 W Double 533 MHz, 66 7 MHz, 800 M Hz, 1066 MH z 64 KiB per core 1 MiB - 2 Mi B N/A Intel Pentium Ne w E5xxx, E6xxx, T4xxx, SU2xxx, SU4xxx, G69xx, P6xxx, U5xxx, G6xx, G 8xx, B9 xx 1.2 GHz GHz 32 nm, 45 nm, 65 nm 5.5 W - 73 W Single, Double 800 MHz, MHz, 2.5GT /s, 5 GT/s 64 KiB per core 2x256 KiB - 2 MiB 0 KiB - 3 M ib Intel Core Txxxx, L xxxx, U xxxx G Hz GHz 65 nm 5.5 W - 49 W Single, Double 533 MHz, 66 7 MHz 64 KiB per core 2 MiB N/A

58 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University Intel Core 2 Intel Core i3 Intel Core i5 Uxxxx, Lxx xx, Exxxx, Txxxx, P7x xx, Xxxxx, Qxxxx, QX xxxx i3-xxx, i3-2xxx, i3-3 xxx, i3-4x xx p resent i5-7xx, i5-6xx, i p xxx, i5-3x resent xx, i5-4xx x 1.06 GHz G Hz 2.4 GHz GH z 1.06 GHz GH z 45 nm, 6 5 nm 22 nm, 3 2 nm 22 nm, 3 2 nm, 4 5 nm 5.5 W W 35 W W 17 W W Single, Do uble, Quad Double Double, Qu ad 533 MHz, 66 7 MHz, 800 M 64 KiB per Hz, 1066 MHz, core 1333 MHz, MHz 1066 MHz, KiB per 00 MHz, core 5 GT/s GT/s 64 KiB per core 1 MiB MiB 256 KiB 256 KiB N/A 3 MiB - 4 MiB 4 MiB - 8 MiB Intel Core i7 i7-6xx, i7-7xx, i7-8 xx, i7-9xx, i7-2xxx, i p -37xx, i7- resent 38xx, i7-4 7xx, i7-48 xx 1.6 GHz GH z 22 nm, 3 2 nm, 4 5 nm 45 W W Quad 4.8 GT/s, 6.4 GT/s 64 KiB per core KiB 6 MiB MiB Intel Core i7 i7-970, i7-980, i7-9 80x, i p 0x, i7-39x resent x, i7-49xx, i7 58xx, i 7 59xx 3.0 GHz GH z 32 nm, 2 2 nm 130 W W Quad, Hex a, Octa 2.5GT/s GT/s 64 KiB per core 6x256 KiB 12 MiB - 20 MiB

59 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 59 59

60 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 60 60

61 Microprocessor NAM S.B MDLAB. Electronic Engineering, Kangwon National University 학습정리 오늘학습한내용을정리해볼까요 ~ 최초의계산기 최초의전자계산기 최초의프로그램내장방식의컴퓨터 4비트마이크로프로세서구조 8비트이상마이크로프로세서구조 Mocrocomputer와 Microprocessor

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr

[ 마이크로프로세서 1] 1 주차 1 차시. 마이크로프로세서개요 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Micropr 1 주차 1 차시마이크로프로세서개요 학습목표 1. 마이크로프로세서 (Microprocessor) 를설명할수있다. 2. 마이크로컨트롤러를성능에따라분류할수있다. 학습내용 1 : 마이크로프로세서 (Microprocessor) 1. 마이크로프로세서란? 1 작은실리콘칩위에트랜지스터를수천만개집적한소자 2 마이크로 [μ] 는매우작은크기, 프로세서는처리기혹은 CPU를뜻하므로

More information

마이크로프로세서 개요

마이크로프로세서 개요 1 주 개요 메카트로닉스공학부 조철우 8051 Pin Layout 8051 3 Atmel 89C2051 4 강의의개요 컴퓨터및의기원과동작원리를학습 마이크로컨트롤러를배우기위한기초원리 마이크로컨트롤러를활용하기위한도구사용법 마이크로컨트롤러를활용하기위한기초시스템설계및프로그래밍 History of Computer 컴퓨터의역사. 최초의컴퓨터 - 1946년 ENIAC,

More information

CH01.hwp 컴퓨터일반 [1- 컴퓨터개요 ] 1) 컴퓨터의정의 = EDPS또는 ADPS 입력된자료를프로그램이라는명령순서에따라처리하여그결과를사람이알아볼수있도록출력하는전자 (Electronic) 자료처리 (Data Processing) 시스템 (System) 2) 컴퓨

CH01.hwp 컴퓨터일반 [1- 컴퓨터개요 ] 1) 컴퓨터의정의 = EDPS또는 ADPS 입력된자료를프로그램이라는명령순서에따라처리하여그결과를사람이알아볼수있도록출력하는전자 (Electronic) 자료처리 (Data Processing) 시스템 (System) 2) 컴퓨 [1- 컴퓨터개요 ] 1) 컴퓨터의정의 = EDPS또는 ADPS 입력된자료를프로그램이라는명령순서에따라처리하여그결과를사람이알아볼수있도록출력하는전자 (Electronic) 자료처리 (Data Processing) 시스템 (System) 2) 컴퓨터의특징 1 정확성 - 정확한입력에대하여정확한출력결과를나타낸다. - (Garbage In Garbage Out : 잘못된자료입력은잘못된결과를출력한다

More information

슬라이드 1

슬라이드 1 강력한성능! 인터넷 / 업무용데스크탑 PC NX-H Series Desktop PC NX1- H700/H800/H900 NX2- H700/H800/H900 NX1-H Series 사양 Series 제품설명 ( 모델명 ) NX1-H Series, 슬림타입 기본형모델중보급형모델고급형모델 NX1-H800:112SN NX1-H800:324SN NX1-H800:534MS

More information

Microsoft PowerPoint - CHAP_03 - 복쇬본.pptx

Microsoft PowerPoint - CHAP_03 - 복쇬본.pptx 컴퓨터하드웨어 Computer Hardware PC 일반 Lecture 3 1. CPU 2. 메인보드 3. 동작원리 2 1 CPU 의 IQ? 컴퓨터하드웨어와컴퓨터구성 3 CPU??? 컴퓨터시스템전체를제어하는장치 다양한입력장치로부터자료를받아서처리한후, 그결과를출력장치로보내는일련의과정을제어하고 조정하는일을수행 4 2 CPU 의기본구조 CPU 논리연산장치 Arithmetic

More information

슬라이드 1

슬라이드 1 컴퓨터의구성 내용 컴퓨터의세대와역사 컴퓨터의구성요소 : CPU, 주기억장치, I/O 장치, 상호연결망 컴퓨터의기능 컴퓨터세대의분류 세대 연도 기술 속도 ( 연산수 / 초 ) 1 1946-1957 진공관 40,000 2 1958-1964 트랜지스터 200,000 3 1965-1971 SSI(Small Scale Integration) 1,000,000 4 1972-1977

More information

Microsoft Word - pc03.doc

Microsoft Word - pc03.doc 3단원 컴퓨터의 두뇌 CPU 고르기 1. CPU 의 기능과 종류 2. CPU 성능 평가 1/8 1. CPU의 기능과 종류 1) CPU란? 컴퓨터 시스템 전체를 제어하는 장치로서, 다양한 입력장치로부터 자료를 받아서 처리한 후 그 결과를 출력장치로 보내는 일련의 과정을 제어하고 조정하는 일을 수행합니다. 모든 컴퓨터의 작동과정이 중앙처리장치의 제어를 받기 때문에

More information

PowerPoint Presentation

PowerPoint Presentation Computer Science Suan Lee - Computer Science - 01 컴퓨터의개요 1 01 컴퓨터의개요 - Computer Science - 01 컴퓨터의개요 2 목차 1. 컴퓨터의발전과역사 2. 컴퓨터의구성 3. 컴퓨터의활용분야 - Computer Science - 01 컴퓨터의개요 3 미국산호세의컴퓨터역사박물관 - Computer Science

More information

[ 전자계산기구조 ] 1 주차 2 차시. 컴퓨터역사와분류 1 주차 2 차시컴퓨터역사와분류 학습목표 1. 컴퓨터의발전을시대별로특징지어설명할수있다. 2. 사용목적및구조와처리에따라서구분할수있다. 학습내용 1 : 컴퓨터의역사 1. 계산기형태 1) 고대의계산기 - 기원후 1 세

[ 전자계산기구조 ] 1 주차 2 차시. 컴퓨터역사와분류 1 주차 2 차시컴퓨터역사와분류 학습목표 1. 컴퓨터의발전을시대별로특징지어설명할수있다. 2. 사용목적및구조와처리에따라서구분할수있다. 학습내용 1 : 컴퓨터의역사 1. 계산기형태 1) 고대의계산기 - 기원후 1 세 1 주차 2 차시컴퓨터역사와분류 학습목표 1. 컴퓨터의발전을시대별로특징지어설명할수있다. 2. 사용목적및구조와처리에따라서구분할수있다. 학습내용 1 : 컴퓨터의역사 1. 계산기형태 1) 고대의계산기 - 기원후 1 세기경휴대용계산기 ( 계산판과계산말로구성 ) - 기원후 3 세기경 : 주판 2) 중세의계산기 * 네피어의골패 - 1617년에제작된세계에서가장오래된승제산

More information

Microsoft PowerPoint - 권장 사양

Microsoft PowerPoint - 권장 사양 Autodesk 제품컴퓨터사양 PRONETSOFT.CO 박경현 1 AutoCAD 시스템사양 시스템요구사양 32 비트 AutoCAD 2009 를위한시스템요구사항 Intel Pentium 4 프로세서 2.2GHz 이상, 또는 Intel 또는 AMD 듀얼 코어프로세서 16GH 1.6GHz 이상 Microsoft Windows Vista, Windows XP Home

More information

Computer Architecture

Computer Architecture 컴퓨터시스템개요 컴퓨터의기본구조정보의표현과저장시스템의구성컴퓨터구조의발전과정 1.1 컴퓨터의기본구조 2 컴퓨터시스템의구성 소프트웨어 (software) 정보들이이동하는방향과정보처리의종류를지정 동작들이일어나는명령 (command) 들의집합 응용소프트웨어 (application software) 워드프로세서, 웹브라우저, MS- Excel 등 시스템소프트웨어 (system

More information

컴퓨터조직 ITEC201 컴퓨터학개론 경북대학교 IT 대학컴퓨터학부 2014 년봄학기 2014 N Baek 1

컴퓨터조직 ITEC201 컴퓨터학개론 경북대학교 IT 대학컴퓨터학부 2014 년봄학기 2014 N Baek 1 컴퓨터조직 ITEC201 컴퓨터학개론 경북대학교 IT 대학컴퓨터학부 2014 년봄학기 2014 N Baek 1 Contents ts 제08장컴퓨터조직 - computer organization Norton s Textbook - chap 06A. Types of Storage Devices 2 Computer Hardware 3 마더보드 (Motherboard)

More information

컴퓨터의소개, 컴퓨터조립그리고중앙처리장치 (CPU) 컴퓨터의기능및필요성 컴퓨터의역사와발전 컴퓨터의종류 컴퓨터를직접조립해서사용한다는일 컴퓨터조립을위해필요한것들 컴퓨터조립관련사이트 CPU의기능 CPU의구성요소 CPU 스펙보는방법 용도에맞게 CPU 고르기

컴퓨터의소개, 컴퓨터조립그리고중앙처리장치 (CPU) 컴퓨터의기능및필요성 컴퓨터의역사와발전 컴퓨터의종류 컴퓨터를직접조립해서사용한다는일 컴퓨터조립을위해필요한것들 컴퓨터조립관련사이트 CPU의기능 CPU의구성요소 CPU 스펙보는방법 용도에맞게 CPU 고르기 성신여자대학교 / 2009 학년도 1 학기 IT 학부 / 컴퓨터정보학부교수김도형 컴퓨터의소개, 컴퓨터조립그리고중앙처리장치 (CPU) 컴퓨터의기능및필요성 컴퓨터의역사와발전 컴퓨터의종류 컴퓨터를직접조립해서사용한다는일 컴퓨터조립을위해필요한것들 컴퓨터조립관련사이트 CPU의기능 CPU의구성요소 CPU 스펙보는방법 용도에맞게 CPU 고르기 컴퓨터의기능및필요성 (1/2)

More information

Microsoft Word - 최신IT동향.doc

Microsoft Word - 최신IT동향.doc 주간기술동향 통권 1466 호 2010. 10. 6. 프로세서 시장에서 전면적 경쟁에 들어선 인텔과 퀄컴 * PC 프로세서와 휴대전화 프로세서 시장의 절대강자인 인텔과 퀄컴이 중간지대인 휴대형 모바 일 단말 프로세서 시장에서 정면 충돌하고 있는 가운데, 인텔은 성능을, 퀄컴은 통신 처리 기능 을 앞세워 시장경쟁에 돌입 인텔과 퀄컴은 태블릿 PC 를 비롯하여

More information

Microsoft PowerPoint - 사본 - OAS04-사무자동화 기술(HW-CPU).ppt

Microsoft PowerPoint - 사본 - OAS04-사무자동화 기술(HW-CPU).ppt . 사무자동화 3 대주요기술 - 정보의획득, 처리, 전달 ( 통신 ), 보관 ( 축적, 검색 ) 에관련된기술 ) 하드웨어기술 : 신소재개발, 회로소자의고집적도 ( 컴퓨터 ) 2) 소프트웨어기술 : 음성인식기술, 인공지능기술, 자연언어처리기술 ( 운영체제 ) 3) 통신기술 : 교환기술, 단말기술 - 사무자동화기술의특성 : 지능화, 복합다기능화, 네트워크화, 소형화

More information

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참

. 고성능마이크로프로세서 LU 와레지스터 파일의구조 (2.). 직접디지털주파수합성기 (FS) 의구조 3. 고성능마이크로프로세서부동소수점연산기 (Floating-Point Unit) 구조 (2) (2.) (2.) 2. 암호화를위한 VLSI 구조와설계의개요 (2.) 다음참 이비디오교재는정보통신부의 999년도정보통신학술진흥지원사업에의하여지원되어연세대학교전기전자공학과이용석교수연구실에서제작되었습니다 고성능마이크로프로세서 LU ( rithmetic Logic Unit) 와 Register File의구조 2. 연세대학교전기전자공학과이용석교수 Homepage: http://mpu.yonsei.ac.kr E-mail: yonglee@yonsei.ac.kr

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

Microsoft Word - 산업분석리포트2008110717020200.doc

Microsoft Word - 산업분석리포트2008110717020200.doc 산업분석리포트 28.11.1 넷북 - PC 산업의 새로운 트렌드 Analyst 김현중 377-3562 guswnd@myasset.com 새로운 고객 세그먼트의 확대로 29년 본격적인 시장 성장 예상 넷북이란 인텔에서 제안한 저가형 서브 PC 의 개념. 작고, 가볍고, 저전력이며 인터넷, 워드프로 세서와 같은 기본적인 프로그램만을 가동시키는데 최적화된 PC 를

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

Microsoft Word - DELL_PowerEdge_TM_ R710 서버 성능분석보고서.doc

Microsoft Word - DELL_PowerEdge_TM_ R710 서버 성능분석보고서.doc DELL PowerEdge R710 Server 성능분석보고서 본자료는 클루닉스에서자사통합시뮬레이션시스템구성제품인 GridCenter를이용하여 Dell PowerEdge R710 서버의성능을분석한보고서입니다. 클루닉스와 DELL의협의없이발췌및배포를금합니다. BMT 환경 : GridCenter-CAP, GridCenter-HPC, CAE 어플리케이션 Abaqus,Fluent,Gaussian

More information

Microsoft PowerPoint - hy2-12.pptx

Microsoft PowerPoint - hy2-12.pptx CPU의구조와기능 CPU 의명령어수행과정 명령어인출 (Instruction Fetch) : 기억장치로부터명령어를읽어온다 명령어해독 (Instruction Decode) : 수행해야할동작을결정하기위하여명령어를해독한다 모든명령어들에대하여공통적으로수행 데이터인출 (Data Fetch) : 명령어실행을위하여데이터가필요한경우에는기억장치혹은 I/O 장치로부터그데이터를읽어온다

More information

기관별 공동 Template

기관별 공동 Template VR/AR/ 홀로그램과의융복합 그리고산업에서의응용 2017.11.09. 전자부품연구원강훈종 (hoonjongkang@keti.re.kr) Hologram?? Star Wars - 2 - Hologram?? Manchester and London Luton airports Hologram Hatsune Miku concert CNN's human 'hologram'

More information

Integ

Integ HP Integrity HP Chipset Itanium 2(Processor 9100) HP Integrity HP, Itanium. HP Integrity Blade BL860c HP Integrity Blade BL870c HP Integrity rx2660 HP Integrity rx3600 HP Integrity rx6600 2 HP Integrity

More information

비디오 / 그래픽 아답터 네트워크 만약에 ArcGolbe를 사용하는 경우, 추가적인 디스크 공간 필요. ArcGlobe는 캐시파일을 생성하여 사용 24 비트 그래픽 가속기 Oepn GL 2.0 이상을 지원하는 비디오카드 최소 64 MB 이고 256 MB 이상을 메모리

비디오 / 그래픽 아답터 네트워크 만약에 ArcGolbe를 사용하는 경우, 추가적인 디스크 공간 필요. ArcGlobe는 캐시파일을 생성하여 사용 24 비트 그래픽 가속기 Oepn GL 2.0 이상을 지원하는 비디오카드 최소 64 MB 이고 256 MB 이상을 메모리 ArcGIS for Desktop 10.4 Single Use 설치가이드 Software: ArcGIS for Desktop 10.4 Platforms: Windows 10, 8.1, 7, Server 2012, Server 2008 ArcGIS for Desktop 10.4 시스템 요구사항 1. 지원 플랫폼 운영체제 최소 OS 버전 최대 OS 버전 Windows

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 컴퓨터하드웨어와소프트웨어 학습목표 하드웨어와소프트웨어의발전과정및종류에대하여설명한다. 하드웨어의구성요소및운영원리를파악한다. 소프트웨어의발전과정및종류에대하여설명한다. 클라우드컴퓨팅의발전과정및종류에대하여설명한다. 프로그래밍언어의발전과정을설명한다. 목차 2 소프트웨어와프로그래밍언어 3 차세대컴퓨팅 컴퓨터하드웨어의발전과정 초기의계산장치 최초주판 1642 년파스칼의톱니방식계산기계

More information

Flute-GR_BV199_DOS.indb

Flute-GR_BV199_DOS.indb 안전을 위한 주의사항 사용자의 안전을 지키고 재산상의 손해 등을 막기 위한 내용입니다. 반드시 읽고 올바르게 사용해 주세요. BV-199 사용설명서 차례 1 장. 컴퓨터 시작 차례 3 제품의 특장점 6 사용설명서를 읽기 전에 7 안전을 위한 주의사항 10 사용시 올바른 자세 20 제품의 구성물 23 기본 구성물 23 각 부분의 명칭 24 앞면 24 뒷면 25

More information

<4D F736F F F696E74202D D FB8B6C0CCC5A9B7CEC7C1B7CEBCBCBCADBFCD20B8B6C0CCC5A9B7CEC4C1C6AEB7D1B7AF2DB9E8C6F7BFE

<4D F736F F F696E74202D D FB8B6C0CCC5A9B7CEC7C1B7CEBCBCBCADBFCD20B8B6C0CCC5A9B7CEC4C1C6AEB7D1B7AF2DB9E8C6F7BFE 한밭대학교정보통신공학과 김진수 마이크로프로세서와마이크로컨트롤러 C 언어로배우는 8051 마이크로프로세서 학습이수체계도 실시간응용플랫폼응용통신인프라가전기기유비쿼터스컴퓨팅 자동제어 의료 스마트폰 PDA 테블릿 PC 게이트웨이 라우터 스위치 액세스포인트 디지털카메라 디지털 TV 셋탑박스 PVR/DVR 액티브배지 센서 단말기 RF 통신모듈 임베디드시스템 디지털시스템설계

More information

Microsoft PowerPoint - Multi - CPU

Microsoft PowerPoint - Multi - CPU - CPU 그것은과연무엇인가? 9 월 30 일멀티미디어의이해 2 차발표자료 송주영 (1156013), 김태우 (1156006) 이주연 (1156017), 김도연 (1156011) 목 차 1. CPU 소개 ( 정의, 역할, 구성 ) 2. CPU 의역사 (intel, AMD) 3. CPU 성능의구성요소 4. 최근 CPU 성능비교 5. CPU 성능측정하기 1. CPU

More information

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63>

<4D6963726F736F667420576F7264202D20B0B6B3EBC6AE33C3E2BDC3C8C45FC3D6C1BE5F2D2E646F63> 2013. 09. 09 [유진 더리치 스몰캡] 이슈 분석 갤럭시노트3, 갤럭시기어 출시 수혜주 스몰캡 팀장 박종선 Tel. 368-6076 jongsun.park@eugenefn.com 스몰캡 담당 윤혁진 Tel. 368-6499 hjyoon@eugenefn.com Summary < Samsung Unpacked 2013 Episode 2> 행사 개최 지난

More information

타협.PDF

타협.PDF IBM, IBM.. Copyright 2004 by BookCosmos. All Rights Reserved. Summarized with the Permission from the Publisher. ( ).,. - IBM - 2 1 / 2005 1 / 6 16 / 22,000 (Kevin Maney) ., Marketing Computers.

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A634C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The 4 th Lecture 유명환 ( yoo@netplug.co.kr) 1 시간 (Time) 에대한정의 INDEX 2 왜타이머 (Timer) 와카운터 (Counter) 인가? 3 ATmega128 타이머 / 카운터동작구조 4 ATmega128 타이머 / 카운터관련레지스터 5 뻔뻔한노하우 : 레지스터비트설정방법 6 ATmega128

More information

Chapter 2

Chapter 2 Chapter 2: Intel IA-32 Processor based Microcomputers High-level view of IA-32 Microprocessor Computers Information(instruction, data, address..) is sent from one component to another along the system

More information

Microsoft Word - 21_반도체.doc

Microsoft Word - 21_반도체.doc 서원석 02)2004-4520, wonseo@nhis.co.kr 반도체 투자의견 비중확대(유지) 새로운 수요 패러다임의 시작 관심 종목 DRAM: 하반기 가격 흐름은 3분기 유지, 4분기 하락 전망 3분기까지 현 가격 수준에서 안정세를 보이다 계절적 수요가 약세에 접어드는 10월 이후 본격적인 가격 하락 전망. DRAM 수요는 PC 출하량이 이전 전망보다 저조하지만,

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

김기남_ATDC2016_160620_[키노트].key

김기남_ATDC2016_160620_[키노트].key metatron Enterprise Big Data SKT Metatron/Big Data Big Data Big Data... metatron Ready to Enterprise Big Data Big Data Big Data Big Data?? Data Raw. CRM SCM MES TCO Data & Store & Processing Computational

More information

Microsoft PowerPoint - 30.ppt [호환 모드]

Microsoft PowerPoint - 30.ppt [호환 모드] 이중포트메모리의실제적인고장을고려한 Programmable Memory BIST 2010. 06. 29. 연세대학교전기전자공학과박영규, 박재석, 한태우, 강성호 hipyk@soc.yonsei.ac.kr Contents Introduction Proposed Programmable Memory BIST(PMBIST) Algorithm Instruction PMBIST

More information

untitled

untitled NV40 (Chris Seitz) NV1 1 Wanda NV1x 2 2 Wolfman NV2x 6 3 Dawn NV3x 1 3 Nalu NV4x 2 2 2 95-98: Z- CPU GPU / Geometry Stage Rasterization Unit Raster Operations Unit 2D Triangles Bus (PCI) 2D Triangles (Multitexturing)

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

컴퓨터개론 및 실습

컴퓨터개론 및 실습 컴퓨터개론및실습 한국외국어대학교컴퓨터및정보통신공학부김정국 2007.3 Contents What is Computer? History of Computers Computer Organization Number Systems Operating Systems Programming Languages Programming in C/C++ in LINUX & Windows

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770>

<464B4949B8AEC6F7C6AE2DC0AFBAF1C4F5C5CDBDBABBEABEF7C8AD28C3D6C1BE5FBCD5BFACB1B8BFF8BCF6C1A4292E687770> 국내 유비쿼터스 사업추진 현황 본 보고서의 내용과 관련하여 문의사항이 있으시면 아래로 연락주시기 바랍니다. TEL: 780-0204 FAX: 782-1266 E-mail: minbp@fkii.org lhj280@fkii.org 목 차 - 3 - 표/그림 목차 - 4 - - 1 - - 2 - - 3 - - 4 - 1) 유비쿼터스 컴퓨팅프론티어사업단 조위덕 단장

More information

<4D F736F F D F5357BAB05FC5EBC7D5C7D8BCAEBDC3BDBAC5DB5FBCBAB4C920BAD0BCAE20B0E1B0FABAB8B0EDBCAD5F F

<4D F736F F D F5357BAB05FC5EBC7D5C7D8BCAEBDC3BDBAC5DB5FBCBAB4C920BAD0BCAE20B0E1B0FABAB8B0EDBCAD5F F CAE S/W 별통합해석시스템성능분석결과보고서 Nehalem CPU vs HarperTown CPU 비교분석 클루닉스 본자료는최신 Intel Processor Architecture인 Nehalem CPU 기반에서 CAE 해석 S/W에대한성능분석보고서입니다. 클루닉스의통합해석시스템구성제품인 GridCenter-CAP을이용하여테스트되었으며, 클루닉스의허가없이복사나배포를금지합니다.

More information

학습목차 r 컴퓨터본체에서 CPU 의위치살펴보기 r CPU 의성능 r CPU 의기능 r CPU 의조직 r 레지스터의조직 r 명령어사이클 r 명령어파이프라이닝 컴퓨터구조 2 9. CPU 조직과기능

학습목차 r 컴퓨터본체에서 CPU 의위치살펴보기 r CPU 의성능 r CPU 의기능 r CPU 의조직 r 레지스터의조직 r 명령어사이클 r 명령어파이프라이닝 컴퓨터구조 2 9. CPU 조직과기능 컴퓨터구조 제 9 강 중앙처리장치의조직과기능 학습목차 r 컴퓨터본체에서 CPU 의위치살펴보기 r CPU 의성능 r CPU 의기능 r CPU 의조직 r 레지스터의조직 r 명령어사이클 r 명령어파이프라이닝 컴퓨터구조 2 9. CPU 조직과기능 학습목표 rcpu 의성능을향상시키는요인들을알아본다. rcpu 의기본적인기능을이해한다. rcpu 는 ALU, 제어장치, 레지스터집합,

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Computer Architecture CHAPTER 컴퓨터시스템개요 제 1 장 컴퓨터시스템개요 1.1 컴퓨터의기본구조 1.2 정보의표현과저장 1.3 시스템의구성 1.4 컴퓨터구조의발전과정 1.1 컴퓨터의기본구조 컴퓨터시스템의구성 응용소프트웨어 (application software) 시스템소프트웨어 (system software) 하드웨어 (hardware)

More information

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074>

<4D F736F F F696E74202D203137C0E55FBFACBDC0B9AEC1A6BCD6B7E7BCC72E707074> SIMATIC S7 Siemens AG 2004. All rights reserved. Date: 22.03.2006 File: PRO1_17E.1 차례... 2 심벌리스트... 3 Ch3 Ex2: 프로젝트생성...... 4 Ch3 Ex3: S7 프로그램삽입... 5 Ch3 Ex4: 표준라이브러리에서블록복사... 6 Ch4 Ex1: 실제구성을 PG 로업로드하고이름변경......

More information

Strategic Management: Competitiveness and Globalization

Strategic Management: Competitiveness and Globalization 박남규 교수 서울대학교경영대학 Agenda 1. 스마트시대를만드는스마트혁명 2. 컴퓨팅의진화와경영환경의변화 3. 스마트시대의경쟁전략프레임워크 4. 시사점 Professor Namgyoo Park 1. 스마트혁명이란? Thinking Machine? Thinking Machine? 전화 + Thinking Capability 자동차 + Thinking Capability

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt

Microsoft PowerPoint - 2006 4Q AMD DT channel training Nov.ppt ctober 2006 2006 Q4 AMD 데스크탑 프로세서 소개 2006 / 11 4분기 새 소식! 이제 본격적인 AM2 시즌! 소켓 939와 소켓754는 일부재고제품으로운영후단종 Quad-core, DDR2 메모리, 가상화기술 지원- 미래형 플랫폼 최고 성능의 명예를 이어가는 FX-70, -72 & -74 출시 4X4 Platform, 2-Processor

More information

Print

Print > > > 제1장 정치 의회 1. 민주주의 가. 민주주의 지수 나. 세계은행의 거버넌스 지수 다. 정치적 불안정 지수 2. 의회 가. 의회제도와 의석 수 나. 여성의원 비율 다. 입법통계 현황 라. 의회의 예산 규모 마. 의원보수 및 보좌진 수당 3. 선거 정당 가. 투표율 나. 선거제도 다. 정당과 정치자금 4. 정치문화 가. 신뢰지수 나. 정부에 대한 신뢰

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 RecurDyn 의 Co-simulation 와 하드웨어인터페이스적용 2016.11.16 User day 김진수, 서준원 펑션베이솔루션그룹 Index 1. Co-simulation 이란? Interface 방식 Co-simulation 개념 2. RecurDyn 과 Co-simulation 이가능한분야별소프트웨어 Dynamics과 Control 1) RecurDyn

More information

PC 일반 2 컴퓨터기초 컴퓨터정보과권용광 CONTENT 1. PC 의개요 2. PC 의구조 3. 명령처리의과정 2 Section 01 컴퓨터의개요 컴퓨터의 역사 01 v 초기의컴퓨터 컴퓨터의역사 ENIAC EDSAC EDVAC UNIVAC-1 El

PC 일반 2 컴퓨터기초 컴퓨터정보과권용광 CONTENT 1. PC 의개요 2. PC 의구조 3. 명령처리의과정 2 Section 01 컴퓨터의개요 컴퓨터의 역사 01 v 초기의컴퓨터 컴퓨터의역사 ENIAC EDSAC EDVAC UNIVAC-1 El PC 일반 2 컴퓨터기초 컴퓨터정보과권용광 CONTENT 1. PC 의개요 2. PC 의구조 3. 명령처리의과정 2 Section 개요 v 초기의컴퓨터 ENIAC EDSAC EDVAC UNIVAC-1 Electronical Numerical Integrator And Calculator u 특징 : 최초의전자계산기 u 개발 : 머클리와에거트 ( 미국, 1946)

More information

ADP-2480

ADP-2480 Mitsubishi PLC 접속 GP 는 Mitsubishi FX Series 와통신이가능합니다. 시스템구성 6 7 8 GP-80 RS- Cable RS-C Cable FXN--BD FXN--BD 6 FX Series(FXS,FXN,FXN,FXNC, FXU) 7 FXS, FXN 8 FXN FX Series 는기본적으로 RS- 통신을하며, RS-/ converter

More information

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc

Microsoft Word - KIS_Touchscreen_5Apr11_K_2.doc 산업분석 Report / 터치스크린 211. 4. 5 비중확대(신규) 종목 투자의견 목표주가(원) 멜파스(9664) 매수(-) 67,( ) 일진디스플레이(276) 매수(신규) 14,5(-) 에스맥(9778) 매수(신규) 18,(-) 이엘케이(9419) 매수(-) 27,( ) 삼성전자 태블릿 PC 공급업체에 주목 터치스크린 산업 올해 9% YoY 성장 비중확대

More information

슬라이드 1

슬라이드 1 프로그램내장방식 저장프로그램 (Stored Program) 방식 폰노이만이고안 메모리에자료와프로그램이함께저장 중앙처리장치 (CPU) 메모리에서필요한자료를이용 저장된명령어를순차적 (Sequential) 으로실행 4 명령어형식 명령어 (instruction) 는연산부분 (operation part) 과피연산부분 (operand part) 으로구성 연산부분은명령어가수행해야할기능을의미하는코드

More information

Microsoft PowerPoint - 1강1절.ppt

Microsoft PowerPoint - 1강1절.ppt 제 1 장 컴퓨터의발달 제 1 장의구성 1.1 컴퓨터시스템 1.2 컴퓨터의발달 1.3 개인용컴퓨터 1.4 컴퓨터의분류 1.5 정보의표현 1.1 컴퓨터시스템 1.1 컴퓨터시스템 컴퓨터의어원 컴퓨터의정의 컴퓨터의구성요소 컴퓨터의어원 컴퓨터는 < 계산하는사람 > 에서출발 18세기배에서항로계산하던사람들 1910 s 제1차세계대전당시장거리포탄의탄착점계산하던사람들 에니악의배선작업을위해고용된젊은여성들

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

[ 마이크로프로세서 1] 1 주차 2 차시. 마이크로프로세서와마이크로컨트롤러발달과정 1 주차 2 차시마이크로프로세서와마이크로컨트롤러발달과정 학습목표 1. 마이크로프로세서발달과정과종류를설명할수있다. 2. 인텔 8051 계열과아트멜 (Atmel) 의특성을설명할수있다. 학습

[ 마이크로프로세서 1] 1 주차 2 차시. 마이크로프로세서와마이크로컨트롤러발달과정 1 주차 2 차시마이크로프로세서와마이크로컨트롤러발달과정 학습목표 1. 마이크로프로세서발달과정과종류를설명할수있다. 2. 인텔 8051 계열과아트멜 (Atmel) 의특성을설명할수있다. 학습 1 주차 2 차시마이크로프로세서와마이크로컨트롤러발달과정 학습목표 1. 마이크로프로세서발달과정과종류를설명할수있다. 2. 인텔 8051 계열과아트멜 (Atmel) 의특성을설명할수있다. 학습내용 1 : 마이크로프로세서발달과정 1. 마이크로프로세서종류 1 인텔 ( intel) 80 계열 2 모토롤라 (motorola) 68 계열 2. 인텔 80 계열 1 최초 1971

More information

?뗡뀶?믟뀱?솽꼶?듄꼮??

?뗡뀶?믟뀱?솽꼶?듄꼮?? ACB/ATS/SPD Air Circuit Breaker / Automatic Transfer Switch / Surge Protective Device Moving Forward into the World 02_03 World Class Brand ACB/ATS/SPD Air Circuit Breaker / Automatic Transfer Switch /

More information

Microsoft PowerPoint - o8.pptx

Microsoft PowerPoint - o8.pptx 메모리보호 (Memory Protection) 메모리보호를위해 page table entry에 protection bit와 valid bit 추가 Protection bits read-write / read-only / executable-only 정의 page 단위의 memory protection 제공 Valid bit (or valid-invalid bit)

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 4 장 : 연산자 2012 년 이은주 학습목표 수식의개념과연산자및피연산자에대한학습 C 의알아보기 연산자의우선순위와결합방향에대하여알아보기 2 목차 연산자의기본개념 수식 연산자와피연산자 산술연산자 / 증감연산자 관계연산자 / 논리연산자 비트연산자 / 대입연산자연산자의우선순위와결합방향 조건연산자 / 형변환연산자 연산자의우선순위 연산자의결합방향

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

ePapyrus PDF Document

ePapyrus PDF Document S104PP (10.4 인치일체형페널 P) S104PP chassis, L Specifications ooling System 260 190 46 (W ) 1024 x 768 (4:3) L 400 cd 4선압력방식 1.5Kg ase an(50 50) onector X 2 VS 고정방식, 매립브라켓고정방식 245 x 184 (1T~5T 가능 ) 12V/5 dapter

More information

Microsoft PowerPoint - M07_RTL.ppt [호환 모드]

Microsoft PowerPoint - M07_RTL.ppt [호환 모드] 제 7 장레지스터이동과데이터처리장치 - 디지털시스템의구성 data path 모듈 : 데이터처리, 레지스터, 연산기, MUX, control unit 모듈 : 제어신호발생, 연산의순서지정 - register transfer operation : reg 데이터이동 / 처리 reg set,operation, sequence control - micro-operation

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

Microsoft PowerPoint - SV4-1200

Microsoft PowerPoint - SV4-1200 eslim SV4-1200 P4 1 웨이서버 www.eslim.co.kr ESLIM KOREA INC. www.eslim.co.kr 1. 제품개요 Dual Core Hyper-Threading eslim SV4-1200 Server 64-bit 인텔펜티엄D & 프레스캇프로세서의강력한컴퓨팅파워 Max. 4 Disk Bays for SATA Interface Features

More information

<313920C0CCB1E2BFF82E687770>

<313920C0CCB1E2BFF82E687770> 韓 國 電 磁 波 學 會 論 文 誌 第 19 卷 第 8 號 2008 年 8 月 論 文 2008-19-8-19 K 대역 브릭형 능동 송수신 모듈의 설계 및 제작 A Design and Fabrication of the Brick Transmit/Receive Module for K Band 이 기 원 문 주 영 윤 상 원 Ki-Won Lee Ju-Young Moon

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

Microsoft PowerPoint - e2.ppt [호환 모드]

Microsoft PowerPoint - e2.ppt [호환 모드] 임베디드시스템하드웨어구조 2. 임베디드시스템하드웨어 / 프로세서 SRAM/ROM CPU timer interrupt UART GPIO DMA USB I/F LAN ROM Flash SRAM 2 - 마이크로프로세서를사용한시스템 - 마이크로콘트롤러를사용한시스템 microprocessor timer interrupt DMA CPU micro-, SoC timer

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

PC 일반 (1) 메인보드 Dept. COMPUTER INFORMATION of SHINANSAN UNITERSITY 안정성을결정하는메인보드 Dept. COMPUTER INFORMATION of SHINANSAN UNITERSITY 1

PC 일반 (1) 메인보드 Dept. COMPUTER INFORMATION of SHINANSAN UNITERSITY 안정성을결정하는메인보드 Dept. COMPUTER INFORMATION of SHINANSAN UNITERSITY 1 PC 일반 (1) 메인보드 안정성을결정하는메인보드 1 마더보드 Motherboard 컴퓨터를구성하는모든부품은메인보드에연결되어야한다. = 모든데이터와명령이통과하는통로 안정성 ( 다양한환경에서도 ) 호환성 ( 제조회사나기술에따라차별되지않는 ) 확장성 ( 새로운기능이나장비에대한 ) 3 Intel CPU 소켓에따른 MB 분류 478 775 1366 1155 1151

More information

Æí¶÷4-¼Ö·ç¼Çc03ÖÁ¾š

Æí¶÷4-¼Ö·ç¼Çc03ÖÁ¾š 솔루션 2006 454 2006 455 2006 456 2006 457 2006 458 2006 459 2006 460 솔루션 2006 462 2006 463 2006 464 2006 465 2006 466 솔루션 2006 468 2006 469 2006 470 2006 471 2006 472 2006 473 2006 474 2006 475 2006 476

More information

Dell Overview Dell,, CAD, VR., ISV. Dell,. 28% Tower 28%. 60% MHz DDR 60%. 159% 2 Quadro P Tower CATIA 159%, 28, , 56 6

Dell Overview Dell,, CAD, VR., ISV. Dell,. 28% Tower 28%. 60% MHz DDR 60%. 159% 2 Quadro P Tower CATIA 159%, 28, , 56 6 #1 WORKSTATIONS IN THE WORLD! IDC Worldwidea Workstation Tracker Q2 2017. Dell 7920 Tower, 7820 Tower, 5820 Tower, Tower 620, Tower 20 15 520, 15 5520, 15 7520, 17 7720 7920 Rack www.dell.co.kr. Dec. 2017

More information

Microsoft PowerPoint - 02.Architecture.ppt [호환 모드]

Microsoft PowerPoint - 02.Architecture.ppt [호환 모드] 컴퓨터의구조 Company Logo @ 2010 년 2 학기컴퓨터의개념및실습서울대학교통계학과 (http://dcom10.ez.ro) 컴퓨터 = 하드웨어 + 소프트웨어 하드웨어 전자회로및기계장치 입출력장치, 중앙처리장치, 기억장치 버스 (Bus): 각구성요소들을연결하는데이터의통로 소프트웨어 하드웨어를제어하여작업을수행하는프로그램 명령문과데이터로구성 사람이이해하기쉬운고급언어로작성

More information

2 장. 컴퓨터와경영정보시스템

2 장. 컴퓨터와경영정보시스템 2 장. 컴퓨터와경영정보시스템 컴퓨터와경영정보시스템 디지털과아날로그 : 디지털 : 아라비아숫자또는손가락이나발가락을의미하는디지트 (digit) 에기원하며 0과 1로구성됨. 아날로그 : 닮음을의미하는그리스어인아날로기아 (analogia) 에서유래되어전압, 전류, 온도, 습도등에서와같이연속적인수치로구성됨. 디지털컴퓨터 : 정보를 0 과 1 로변환하여처리하는컴퓨터.

More information

Microsoft PowerPoint - hy1.pptx

Microsoft PowerPoint - hy1.pptx 의기본구조 개요 1 2 의구성요소 컴퓨터하드웨어의주요구성요소 1. 하드웨어 물리적인컴퓨팅자원 (ex) CPU, 메모리, 입출력장치 2. 운영체제 응용프로그램들의하드웨어사용을제어하고조정하고, 사용자 들에게컴퓨터사용을위한인터페이스를제공함 (ex) UNIX, Linux, Windows XP, Mac OS 3. 응용프로그램 사용자들의문제를해결하기위한프로그램 ( 소프트웨어

More information

<B1D7B7A1C7C8C4ABB5E5BBE7BEE72E786C7378>

<B1D7B7A1C7C8C4ABB5E5BBE7BEE72E786C7378> Gyro3D 의운용을위한 PC, 노트북사양 ( 신규구매기준 ) 2009. 4. 기준 / 자이로소프트 ( 주 ) 1) 그래픽카드모델 ( 시리즈별분류 ) - Gyro3D 는그래픽카드성능에영향을많이미치므로그래픽카드사양을반드시점검 구분 낮은사양 노트북 데스크탑 Nvidia Geforce ATI Radeon Nvidia Geforce ATI Radeon X1250 Geforce

More information

Plc\PLC-p

Plc\PLC-p GP GP-PRO/PB III for Windows Ver. 4.0] (1) [ GP-PRO/PB III for Windows Ver. 4.0] ( Digital Electronic (2) (Readme.txt files ) Copyright 2000 Digital Electronics Corporation. All rights reserved. Digital

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

PowerPoint Presentation

PowerPoint Presentation Korea Tech Conference 2005 년 5 월 14 일, 서울 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 1 Parallel port 를이용한가전제품 제어 임효준 LG 전자 imhyo@lge.com 2005 년 5 월 14 일 CE Linux Forum Korea Tech Conference 2

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

Introduction to Computer Science

Introduction to Computer Science 컴퓨터공학개론 1 장컴퓨팅의간략한역사 학습목표 오늘날대부분의사람들이컴퓨터운영자인이유를배운다 현대의컴퓨터하드웨어와소프트웨어의조상들에대해서배운다 여러세대에걸친컴퓨터하드웨어와소프트웨어의개발과정을추적한다. 2 학습목표 ( 계속 ) 때로는좋은아이디어가실패하고나쁜아이디어가살아남을수있음을배운다 유명한사람, 악명이높은사람, 부유한사람, 잘알려지지않은사람등흥미로운사람들을접한다

More information

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770>

<C7D1B1B9C1A4BAB8BBEABEF7BFACC7D5C8B82D535720C7C3B7A7C6FB20C7D8B9FD20536F4320C0B6C7D5C0B8B7CE2DB3BBC1F62E687770> 표지 면지와 동일 SW 플랫폼 해법: SoC 융합으로 임채덕, 김선태, 정영준, 김태호, 유현규 목 차 1. 서론 1 2. 플랫폼 현주소 2 2.1. 모바일 OS 엿보기 2 2.2. SW 기업의 최신 동향 4 2.3. SoC 기업의 최신 동향 7 2.4. 시사점 11 3. SW 플랫폼 Innovative Mover 전략 13 3.1. 후발 주자의 고민 13

More information

Introduction to LMC

Introduction to LMC Introduction to LMC 황선태 국민대학교컴퓨터공학부 Layout of the Little Man Computer 10 12 123 00 01 02 03 97 98 99 Mailboxes 100 개의박스가있는데각박스에는 00 에서 99 까지의주소가할당되어있고그안에는 3 자리숫자가써있는종이한장이들어있다 이때각메일박스의내용과주소는같은개념이아니므로혼동하면안된다

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

Microsoft PowerPoint - a2.ppt [호환 모드]

Microsoft PowerPoint - a2.ppt [호환 모드] 마이크로컴퓨터의기본구조 2 장 x86 프로세서구조 ALU: 산술논리연산제어장치 (CU): 실행순서제어클럭 : 구성요소들의동작동기화 CPU + memory + I/O + bus 어셈블리언어 2 클럭 (Clock) CPU 와 Bus 동작은클럭에동기되어동작을한다. 메모리읽기사이클과대기상태 1 클럭사이클동안간단한동작을수행한다. 기계어명령어수행에적어도 1 클럭사이클이필요함

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

OZ-LMS TM OZ-LMS 2008 OZ-LMS 2006 OZ-LMS Lite Best IT Serviece Provider OZNET KOREA Management Philosophy & Vision Introduction OZNETKOREA IT Mission Core Values KH IT ERP Web Solution IT SW 2000 4 3 508-2

More information

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성

i-movix 특징 l 안정성 l 뛰어난화질 l 차별화된편의성 i-movix 소개 2005 년설립 ( 벨기에, 몽스 ), 방송카메라제작 2005년 Sprintcam Live System 개발 2007년 Sprintcam Live V2 2009년 Sprintcam Live V3 HD 2009년 Sprintcam Vvs HD 2011년 Super Slow Motion X10 2013년 Extreme + Super Slow

More information

ㅇ / (, / ) 1 AI (20 ) % 80 N/A N/A 2 AI 10 N/A N/A 3 % % / 93% (, MS ) 80%(, ) fps 30 N/A N/A 6 2 N/A N/A 1 AI 6 SW 2 7 SW (BM) : ( ),

ㅇ / (, / ) 1 AI (20 ) % 80 N/A N/A 2 AI 10 N/A N/A 3 % % / 93% (, MS ) 80%(, ) fps 30 N/A N/A 6 2 N/A N/A 1 AI 6 SW 2 7 SW (BM) : ( ), AI AI ㅇ (AI) ㅇ R&D ㅇ AI (SW) ㅇ : AI AI (TRL : [] 3 [] 7) AI ㅇ / (, / ) 1 AI (20 ) % 80 N/A N/A 2 AI 10 N/A N/A 3 % 95 90 4 % 90 85 5 / 93% (, MS ) 80%(, ) fps 30 N/A N/A 6 2 N/A N/A 1 AI 6 SW 2 7 SW (BM)

More information

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료 오리온 알토는 Windows 7을 권장합니다. DESKTOP PC 이 기기는 가정용(B급)으로 전자파적합기기로서 주로 가정에서 사용하는 것을 목적으로 하며, 모든 지역에서 사용할 수 있습니다. * 제품 연결 및 작동 등 올바른 사용을 위해서 이 설명서를 주의 깊게 읽어 주시기 바랍니다. 또한, 향후 사용을 위해서 매뉴얼을 보관하여 주십시오. * 본 이미지는

More information