Microsoft PowerPoint - 3. BJT

Size: px
Start display at page:

Download "Microsoft PowerPoint - 3. BJT"

Transcription

1 BJT (Bipolar Junction Transistor)

2 BJT 의구조및동작모드

3 BJT 의구조및동작모드 실제 BJT 는그림 3-1(a) 와같이이미터영역과컬렉터영역의기하학적구조가다르며, 세영역의도핑농도도각기다르게만들어진다. 도핑농도 : ( 이미터 )>( 베이스 )>( 컬렉터 ) 이미터 : 전류운반캐리어 ( 전자또는정공 ) 를제공 컬렉터 : 베이스영역을지나온캐리어가모이는영역 베이스 : 이미터에서주입된캐리어가컬렉터로도달하기위해지나가는영역 BJT 의전류증폭률을크게만들기위해폭이매우얇게만들어진다. + Simplified cross section of a planar NPN bipolar junction transistor

4 BJT 의구조및동작모드

5 BJT 의구조및동작모드

6 BJT 의구조및동작모드

7 BJT 의구조및동작모드 활성모드의전류성분 B-E, B-C를각각 PN 접합다이오드로생각할수있음. 개별 PN 다이오드에역방향바이어스가인가되면전류가흐르지못하지만, BJT에서역방향바이어스된 B-C 접합에는이미터에서주입된전자가베이스를통과하여컬렉터로이동하므로 B-C 접합에컬렉터전류가흐른다는사실에유의.

8 BJT 의구조및동작모드 활성모드의전류성분 B-E 접합에순방향바이어스 이미터영역의다수캐리어인전자가베이스영역으로주입 (1로표시 ) 베이스영역의다수캐리어인정공은이미터영역으로주입 (2로표시 ) 이미터영역의도핑농도가베이스영역의도핑농도보다월등히높이때문에, 1이 2보다월등히많다. 이미터에서베이스로주입된전자중일부 (3으로표시 ) 는베이스영역의정공 (4로표시 ) 과재결합하여소멸된다. 이미터에서베이스로주입된전자중, 베이스에서재결합된일부를제외한나머지 (5로표시 ) 는컬렉터로넘어가컬렉터전류 Ic를형성한다.

9 BJT 의구조및동작모드 컬렉터전류 I C 는컬렉터전압 V C 와무관하다.

10 BJT 의구조및동작모드

11 BJT 의구조및동작모드 I C DC I B DC : 공통이미터 DC 전류이득 Rizzoni Ch.10, Fig. 10.8

12 BJT 의구조및동작모드 I C DC I B Rizzoni Ch.10, Fig. 10.9(b)

13 BJT 의구조및동작모드

14 BJT 의구조및동작모드

15 BJT 의구조및동작모드

16 BJT 의구조및동작모드

17 BJT 의구조및동작모드 VCE V BE I C DC I B VCE V BE Rizzoni Ch.10, Fig. 10.9(b)

18 BJT 의 DC 해석과등가모델 NPN 형 BJT 가활성모드로동작하려면 V BE >0 와 V BC <0 가만족되어야한다는것은앞절에서강조하였다. 그림 3-10(a) 는 NPN 트랜지스터의이미터가접지로연결된공통이미터회로이며, 가장기본적인증폭기회로이다. 공통이미터 (common-emitter) 회로에대해 DC 해석과등가모델에대해알아보자.

19 BJT 의 DC 해석과등가모델 BJT가활성모드로동작할때, 순방향바이어스가걸린 B-E 접합은턴-온 (turn-on) 전압 V BE(on) 을갖는PN 다이오드로모델링할수있다. 활성모드의컬렉터전류는베이스전류의함수인제어전류원 β DC I B 로모델링할수있다.

20 BJT 의 DC 해석과등가모델 V BB -V BE(on) <0 차단모드 I B =0 V BB -V BE(on) 0 활성모드 B-E 루프에 KVL 을적용하면, C-E 루프에 KVL 을적용하면,

21 BJT 의 DC 해석과등가모델

22 BJT 의 DC 해석과등가모델

23 BJT 의 DC 해석과등가모델 부하선 (load line): 선형회로가비선형소자 ( 트랜지스터 ) 에대해나타낼수있는부하의모든궤적을나타내는직선 트랜지스터의동작점 (Q 점 ) 이부하선상에설정된다. BJT 의경우 - B-E 특성에대해그려지는입력부하선 - E-C 특성에대해그려지는출력부하선

24 BJT 의 DC 해석과등가모델 입력부하선 B-E 루프에 KVL 을적용하여얻어지는다음수식에의해그려진다.

25 BJT 의 DC 해석과등가모델 출력부하선 E-C 루프에 KVL 을적용하여얻어지는다음수식에의해그려진다.

26 BJT 의 DC 해석과등가모델

27 BJT 의 DC 해석과등가모델

28 BJT 의 DC 해석과등가모델

29 바이어스회로 BJT 는인가되는바이어스에의해동작모드가결정되며, 증폭기회로에사용되기위해서는활성모드로바이어스가설정되어야한다. 증폭기에서는입력신호와출력신호사이의선형성이중요한요소이므로, 선형동작영역의중앙근처에 BJT의동작점이설정되도록바이어스를인가한다. I BQ V BB V R B BE( on) I CQ DC I BQ V CEQ V CC I C Q R C

30 바이어스회로 바이어스회로의종류 BJT 의 β DC 와 V BE(on) 은트랜지스터마다다르고, 온도에민감하게영향을받는다. β DC 와 V BE(on) 에무관하게하여바이어스안정도향상 고정바이어스 (Fixed bias) 전압분배바이어스 (Voltage divider bias) 자기바이어스 (Self bias) Collector-to-base bias polar_transistor_biasing

31 바이어스회로 고정바이어스회로 하나의전원 V CC 를이용하여바이어스 동작점전류, 전압값들이 β DC 와 V BE(on) 에직접적영향을받아바이어스안정도가좋지않다.

32 바이어스회로 전압분배바이어스회로 두개의저항으로전원전압분배 동작점전류, 전압값들이 β DC 와 V BE(on) 에직접적영향을받아바이어스안정도가좋지않다. 테브냉등가회로

33 바이어스회로 자기바이어스회로 테브냉등가회로 이미터저항추가, 바이어스안정도개선 등가회로 B-E 루프에 KVL 적용 I V CQ TH DC BQ I BQ I R V 1 TH BE( on) DC I BQRE

34 바이어스회로 자기바이어스회로 이면, 컬렉터바이어스전류 I CQ 가근사적으로 β DC 에무관하게되어동작점이안정화된다.

35 바이어스회로 Collector-to-Base Bias 베이스저항을컬렉터에연결, 바이어스안정도개선 V CC 에서 R C, R B 거쳐 B-E 로이어지는루프에 KVL 적용 V CC R C I CQ I BQ I BQRB VBE(on) I CQ I 이므로, DC BQ V I I CC BQ CQ R R R C B DCI BQ I BQ I BQRB VBE(on) V DC B CC V V 1 CC BE( on) DC R C V BE( on) 1 DC RC RC R B V CC V BE( on) 1 R,1 이면, DC C 이고 DC

36 바이어스회로

37 바이어스회로

38 BJT 의소신호등가회로 BJT가활성영역에서동작하는경우에동작점을중심으로신호가작은크기로변하면선형적인특성을가지며, 이를소신호 (small-signal) 특성이라고한다.

39 BJT 의소신호등가회로 전달컨덕턴스와소신호공통이미터전류이득활성영역의컬렉터전류는전압 V BE 에의해제어되는일정한값을가지므로전압제어전류원 (voltage controlled current source) 으로동작하며, 이특성을전달컨덕턴스 (transconductance) 로모델링할수있다.

40 BJT 의소신호등가회로 전달컨덕턴스 BJT 가전압 V BEQ 에의해활성모드로바이어스되어있을때, V BE 가 ΔV BE 만큼변할때, 컬렉터전류 I C 의변화량 ΔI C 구하기. 테일러급수를이용하여근사화하면,

41 BJT 의소신호등가회로 전달컨덕턴스 V BE 가 ΔV BE 만큼변할때, 컬렉터전류 I C 의변화량 ΔI C 는 이때가작은변화인경우에에수렴하는값을가지므로, 이를전달컨덕턴 스라고하며기호 g m 으로나타낸다.

42 BJT 의소신호등가회로 하이브리드 -π 등가모델 BJT 증폭기의해석에가장널리사용되고있는저주파소신호등가모델 여기서, 저주파란 BJT 내부의기생정전용량 (parasitic capacitance) 을고려하지않기때문.

43 BJT 의소신호등가회로 하이브리드 -π 등가모델 B-E 접합은순방향바이어스된 PN 접합으로동작 순방향바이어스된 PN 접합은등가저항 r d 로모델링가능 비슷한 r π g m I V CQ T r acv I CQ T V I T BQ

44 BJT 의소신호등가회로 하이브리드 -π 등가모델 i c g r i m b i ac b

45 BJT 의소신호등가회로 하이브리드 -π 등가모델 활성모드에서 BJT의 B-C PN 접합은역방향바이어스되며, 컬렉터전압 V C 가증가할수록 (V CE 가증가할수록 ) B-C 접합의공핍영역확대 얇은두께의베이스로공핍영역이침투하여유효베이스폭감소 : 베이스폭변조 이미터에서주입된캐리어가컬렉터까지도달하는비율증가 : 컬렉터전류증가 (Early 효과 ) Top: NPN base width for low collector-base reverse bias; Bottom: narrower NPN base width for large collector-base reverse bias. Hashed regions are depleted regions. 컬렉터전압이증가하면컬렉터전류가증가 : 저항으로모델링

46 BJT 의소신호등가회로 하이브리드 -π 등가모델 컬렉터전압이증가하면컬렉터전류가증가 : 저항으로모델링 V A : 얼리전압 (typically 15 V to 150 V; smaller for smaller devices)

47 BJT 의소신호등가회로 하이브리드 -π 등가모델 r g ac m V I T BQ g m I V CQ T r o V I A CQ

48 BJT 의소신호등가회로

49 BJT 의소신호등가회로

50 BJT 의소신호등가회로

전자회로 실험

전자회로 실험 전자회로실험 2 조 고주현허영민 BJT의고정바이어스및 부품 * 실험목적 1) 고정바이어스와 회로의직류동작점을결정한다. 다이오드의특성 * 실험장비 계측장비 - Digital Multi Meter 부품 -저항 다이오드의특성 부품 - 트랜지스터

More information

Microsoft PowerPoint - Ch8

Microsoft PowerPoint - Ch8 Ch. 8 Field-Effect Transistor (FET) and Bias 공핍영역 D G S 채널 8-3 JFET 바이어스 자기바이어스 (self-bias) R G - 접지로부터 AC 신호를분리 I D I G = 0 G = 0 D I D I S S = I S R S I D R S S I S = G - S = 0 I D R S = - I D R S D

More information

PowerPoint Presentation

PowerPoint Presentation 신호조절 (Signal Conditioning) 메카트로닉스 시스템의 구성 ECU 인터페이스 회로 (시그널 컨디셔닝) 마이컴 Model of 기계 시스템 인터페이스 회로 (드라이빙 회로) 센서 액츄에이터 (구동기) 기계 시스템 PN 접합 다이오드 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드의 DC 해석과 등가모델 [1] 다이오드 응용회로 [1] 다이오드

More information

5_10.hwp

5_10.hwp 실험 8. 트랜지스터스위칭실험 8.1 실험목적 트랜지스터의스위칭특성을이해한다. 트랜지스터의무접점스위치로의응용원리를이해한다. 트랜지스터의디지털소자로의응용원리를이해한다. 8.2 실험이론 8.2.1 트랜지스터스위칭특성 포화동작영역은트랜지스터의베이스입력전류가커서입력전류에따라전류증폭률 β배만큼비례적으로증폭하여컬렉터전류로출력하지못하고, 출력이트랜지스터가흘릴수있는최대컬렉터전류

More information

2001/1학기 공학 물리 중간고사

2001/1학기 공학 물리 중간고사 2011/2 학기물리전자기말고사담당교수 : 김삼동 성명 학번 분반 e = 1.6 10-19 C, ε ox = 3.9, ε Si = 11.7,ε o = 8.85 10-14 F/cm 2, kt (300 K) = 0.0259 ev,, n i (Si, 300 K) =1.5x10 10 /cm 3 1. PN diode의 I-V 특성은아래의그림과같은거동을보인 (I) 다.

More information

Microsoft PowerPoint - 6. FET 증폭기

Microsoft PowerPoint - 6. FET 증폭기 FET 증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun FET 증폭기 MOFET 증폭기는동작측면에서 4 장에서설명한 BJT 증폭기와유사. BJT 증폭기에비해입력저항이매우커서, 증폭단사이신호전달이보다효율적임. 공통소오스증폭기 공통드레인증폭기 공통게이트증폭기 E-al: hjun@hanyan.ac.kr http://web.ynse.ac.kr/hjun

More information

PowerPoint Presentation

PowerPoint Presentation 구동회로 메카트로닉스시스템의구성 ECU 인터페이스회로 ( 시그널컨디셔닝 ) 마이컴 Model of 기계시스템 인터페이스회로 ( 드라이빙회로 ) 센서 액츄에이터 ( 구동기 ) 기계시스템 Power Semiconductor Device TRAC BJT http://en.wikipedia.org/wiki/power_semiconductor_device BJT 의구조및동작모드

More information

Microsoft PowerPoint - Ch3

Microsoft PowerPoint - Ch3 Ch. 3 Special Purpose Diodes 3-4. 광학다이오드 (Optical diodes) 광학다이오드 광방출다이오드 (LED) : 빛을방출하는다이오드 광다이오드 (Photodiode) : 빛을검출하는다이오드 광방출다이오드 (LED: light emitting diode) 전계발광 (electroluminescence): 순방향바이어스 : n영역의자유전자

More information

Microsoft PowerPoint - Chapter4&6(강의용)

Microsoft PowerPoint - Chapter4&6(강의용) h. 4 반도체소자 반도체 : 상온에서도체와부도체의중간쯤에해당하는전기전도도를가지는물질 불순물첨가 (doping) 또는결함으로인해서전기전도도가매우크게변함. 주기율표에서 4 족, 3-5 족, 2-6 족화합물 (Si, Ge, GaAs, AlAs etc. ) c = 6.708 Å 1 원자가규칙적정렬을하는고체에서전자의상태 : 에너지밴드 E U E g a E V a 0

More information

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로

(b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 Lab. 1. I-V Characteristics of a Diode Lab. 6. 연산증폭기가산기, 미분기, 적분기회로 1. 실험목표 연산증폭기를이용한가산기, 미분기및적분기회로를구성, 측정및 평가해서연산증폭기연산응용회로를이해 2. 실험회로 A. 연산증폭기연산응용회로 (a) 가산기 (b) 미분기 (c) 적분기 그림 6.1. 연산증폭기연산응용회로 3. 실험장비및부품리스트

More information

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로

(b) 연산증폭기슬루율측정회로 (c) 연산증폭기공통모드제거비측정회로 그림 1.1. 연산증폭기성능파라미터측정회로 Lab. 1. I-V Characteristics of a Diode Lab. 1. 연산증폭기특성실험 1. 실험목표 연산증폭기의전압이득 (Gain), 입력저항, 출력저항, 대역폭 (Bandwidth), 오프셋전압 (Offset Voltage), 공통모드제거비 (Common-mode Rejection Ratio; CMRR) 및슬루율 (Slew Rate) 등의기본적인성능파라미터에대해서실험을통해서이해

More information

실험 5

실험 5 실험. OP Amp 의기초회로 Inverting Amplifier OP amp 를이용한아래와같은 inverting amplifier 회로를고려해본다. ( 그림 ) Inverting amplifier 위의회로에서 OP amp의 입력단자는 + 입력단자와동일한그라운드전압, 즉 0V를유지한다. 또한 OP amp 입력단자로흘러들어가는전류는 0 이므로, 저항에흐르는전류는다음과같다.

More information

제목을 입력하십시오

제목을 입력하십시오 포워드, 플라이백컨버터 Prof. ByoungKuk ee, Ph.D. Energy echaronics ab. chool of Informaion and Communicaion Eng. ungkyunkwan Universiy Tel: 823299458 Fax: 823299462 hp://seml.skku.ac.kr E: bkleeskku@skku.edu Forward

More information

제목을 입력하십시오

제목을 입력하십시오 위상제어정류기 Prf. ByungKuk Lee, Ph.D. Energy Mechatrnics Lab. Schl f Infrmatin and Cmmunicatin Eng. Sungkyunkwan University Tel: 8212994581 Fax: 8212994612 http://seml.skku.ac.kr EML: bkleeskku@skku.edu 위상제어정류회로

More information

Microsoft PowerPoint - Ch13

Microsoft PowerPoint - Ch13 Ch. 13 Basic OP-AMP Circuits 비교기 (Comparator) 하나의전압을다른전압 ( 기준전압, reference) 와비교하기위한비선형장치 영전위검출 in > 기준전압 out = out(max) in < 기준전압 out = out(min) 비교기 영이아닌전위검출 기준배터리 기준전압분배기 기준전압제너다이오드 비교기 예제 13-1: out(max)

More information

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA>

<4D F736F F F696E74202D DC0FCB1E2C0FCC0DAC8B8B7CEB1E2C3CA> 전력전자 로봇 자동화공학부 www.dongyang.ac.kr 전기회로기초 - 학습내용 교류전압전류의표현방법 전력및역률 계측기사용법 전력용반도체소자및동작원리 전기회로기초 - 계측기사용법 함수발생기 함수발생기 (function generator) 또는신호발생기 (signal generator) 는디지털회로또는아날로그전자회로에정현파, 구형파, 삼각파등의신호를공급하는실험장비

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators Crystal-Controlled Oscillators 수정발진기 (Crystal-Controlled Oscillators): 안정되고정확한발진기 압전효과 (Piezoelectric effects): 기계적충격에의해서진동하는주파수에서전압을발생 교류전압이인가하면주파수로진동 압전효과물질 : 수정 - 매우높은 Q 값 ( 수천 )

More information

제 1 장 집적회로 개요

제 1 장  집적회로 개요 실험 #2-A 반도체다이오드의특성실험 1. 실험목적 다이오드의특성에대해조사한다. 2. 서론 모든반도체다이오드는단향적특성을가지고있다. 순방향저항은매우낮은반면에역방향저항은매우높기때문이다. 다이오드에대한전압대전류의곡선을그려가며구체적으로그특성을조사한다. 3. 관련이론 다이오드내부저항 V D V D V T r D Ideal diode I D I D 다이오드의순방향저항

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

<BCD2B9E6C0FCB1E2C8B8B7CE20BECFB1E2B3EBC6AE20BAB8C3E6C0DAB7E E687770>

<BCD2B9E6C0FCB1E2C8B8B7CE20BECFB1E2B3EBC6AE20BAB8C3E6C0DAB7E E687770> 01. 제어계의종류 1) 개-루프제어계 (Open loop system) 1 제어동작이출력과관계없이순차적으로진행되는제어계 2 구조가간단하고경제적 2) 폐-루프제어계 (Close loop system)- 피드백제어계 1 출력신호를입력신호로되돌려서제어량이목표값과비교하여정확한제어가가능하도록한제어계 2 정확하고대역폭이증가하지만구조가복잡하고설치비가많이든다. 3 계의특성변화에대한입력대출력비에대한감도가감소한다.

More information

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #9: Motor 제어 2012. 5. 18 by 김영주 강의목차 소형모터개요 트랜지스터를이용한 DC 모터제어 Motor Driver IC를이용한 DC 모터제어 Servo 모터제어 2 3 1. 소형모터 (Motor) 소형모터 (1) 소형모터 전기에너지를회전운동으로변환하는장치모터소형화로다양하게응용되고있음

More information

KMC.xlsm

KMC.xlsm 제 7 장. /S 에필요한내용 1] IGBT 취급시주의사항 ) IGBT 취급시주의 1) 운반도중에는 Carbon Cross로 G-E를단락시킵니다. 2) 정전기가발생할수있으므로손으로 G-E 및주단자를만지지마십시요. 3) G-E 단자를개방시킨상태에서직류전원을인가하지마십시요. (IGBT 파손됨 ) 4) IGBT 조립시에는사용기기나인체를접지시키십시요. G2 E2 E1

More information

한밭대학교 전자제어공학과 MSL연구실 Department of Electronics and control engineering, Medical system laboratory Hanbat National University, Deongmyeong-dong, Yus

한밭대학교 전자제어공학과 MSL연구실   Department of Electronics and control engineering, Medical system laboratory  Hanbat National University, Deongmyeong-dong, Yus 강의소개 I Course: 전자회로 (3 학년 ) Classroom:N5 동 -202 호 Lecturer: Prof. Yeun-Ho Joung ( 정연호 ) Office: N5 동 211 호실 Phone: 042-821-1166 E-mail: yhjoung@hanbat.ac.kr Textbook Textbook: Electronic Devices-conventional

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

실험 5

실험 5 실험. OP Amp 의기본특성 이상적 (ideal) OP Amp OP amp는연산증폭기 (operational amp) 라고도불리며, 여러개의트랜지스터로구성이된차동선형증폭기 (differential linear amplifier) 이다. OP amp는가산, 적분, 미분과같은수학적연산을수행하는회로에사용될수있으며, 비디오, 오디오증폭기, 발진기등에널리사용되고있다.

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

PowerPoint Presentation

PowerPoint Presentation 전자회로 SEMICONDUCTOR P 1 @ INDEX 1. Conductors, Insulators, Semiconductors 2. Conduction in Semiconductors 3. The N-Type and P-Type Semiconductors 4. The PN Junction P 2 1.1 Conductors Insulators Semiconductors

More information

전자회로-07장

전자회로-07장 Chapter 07 7.1 BJT 7.2 MOSFET 7.3 7.4 7.5 7.6 4 6 IC IC IC IC BJT MOSFET IC IC IC IC 7 1 differential amplifier IC integrated circuit IC BJT MOSFET emitter coupled differential pair source coupled differential

More information

Microsoft PowerPoint - es-arduino-lecture-09

Microsoft PowerPoint - es-arduino-lecture-09 임베디드시스템개론 : Arduino 활용 Lecture #9: Motor 제어 2012. 5. 13 by 김영주 강의목차 소형모터개요 트랜지스터를이용한 DC 모터제어 Motor Driver IC를이용한 DC 모터제어 Servo 모터제어 2 3 1. 소형모터 (Motor) 소형모터 (1) 소형모터 전기에너지를회전운동으로변환하는장치모터소형화로다양하게응용되고있음

More information

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류

Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스» 컬렉터와베이스가역방향으로바이어스 차단 만일 I B 가 0[A] 이면컬렉터전류 Section 03 트랜지스터를이용한스위칭동작 15/45 스위치 ON/OFF 의전기적특성 트랜지스터와기계적인스위치를이용한 LED ON/OFF 동작비교 LED 를켜기위한회로 ([ 그림 5-6]) Section 03 트랜지스터를이용한스위칭동작 16/45 트랜지스터의직류특성 활성 직류상황에서전류 I C 는전류 I B 보다 h FE 배만큼더많은전류가흐름» 베이스와이미터가순방향으로바이어스»

More information

Slide 1

Slide 1 Linear Technology Corporation Power Seminar LDO 2016. 10. 12. LTC Korea 영업강전도부장 010-8168-6852 jdkang@linear.com 기술박종만차장 010-2390-2843 jmpark@linear.com LDO 목차 1) LDO feedback 동작원리, 2) LDO 종류 3) LDO 특성

More information

그림 1 DC 마이크로그리드의구성 Fig. 1 Configuration of DC Micro-grid 그림 2 전력흐름도 Fig. 2 Power Flow of each component 그림 3 전력관리개념 Fig. 3 Concept of Energ Management Unit 1 Unit 2 Output Impedence z1 Output Impedence

More information

Microsoft PowerPoint - analogic_kimys_ch10.ppt

Microsoft PowerPoint - analogic_kimys_ch10.ppt Stability and Frequency Compensation (Ch. 10) 김영석충북대학교전자정보대학 2010.3.1 Email: kimys@cbu.ac.kr 전자정보대학김영석 1 Basic Stability 10.1 General Considerations Y X (s) = H(s) 1+ βh(s) May oscillate at ω if βh(jω)

More information

제 호 년 제67차 정기이사회, 고문 자문위원 추대 총동창회 집행부 임원 이사에게 임명장 수여 월 일(일) 년 월 일(일) 제 역대 최고액 모교 위해 더 확충해야 강조 고 문:고달익( 1) 김병찬( 1) 김지훈( 1) 강보성( 2) 홍경식( 2) 현임종( 3) 김한주( 4) 부삼환( 5) 양후림( 5) 문종채( 6) 김봉오( 7) 신상순( 8) 강근수(10)

More information

2.5 Zener Diode

2.5 Zener Diode Chapter 2 2.1 Mechanical Modeling of Diode PN접합 : 외부에너지의방향에의해한방향으로만전류가흐를수있게한다. 즉, 다이오드는방향이바뀌는외부전압에대하여한쪽방향으로만도통된다. 2.2 Biasing the PN Junction 평형상태에서는 PN 접합을통해움직이는자유전자가없다. 즉평형상태에서는 PN 접합을통해전류가흐르지않는다. 따라서

More information

<C3CA3520B0FAC7D0B1B3BBE7BFEB202E687770>

<C3CA3520B0FAC7D0B1B3BBE7BFEB202E687770> 1. 만화경 만들기 59 2. 물 속에서의 마술 71 3. 비누 탐험 84 4. 꽃보다 아름다운 결정 97 5. 거꾸로 올라가는 물 110 6. 내가 만든 기압계 123 7. 저녁 노을은 맑은 날씨? 136 8. 못생겨도 나는 꽃! 150 9. 단풍잎 색깔 추리 162 10. 고마워요! 지렁이 174 1. 날아라 열기구 188 2. 나 누구게? 198 3.

More information

Microsoft PowerPoint - Ch12

Microsoft PowerPoint - Ch12 Ch. 12 Operational Amplifier (OP-AMP) 개요 기호및단자 Symbol Invert Noninvert V- 1 8 NC V+ Output Typical Package 개요 이상적인 OP-Amp Z in = ; A v = ; bandwidth = ; Z out = 0 실제적인 OP-Amp Z in = very high (MΩ); A v

More information

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI.

?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC %.,? NEC( ) 100  / . ( )....,,,, EMI, RFI. , ?.,,,.. / OSHA( ) NFPA( ) ANSI/ISA( / ) TIA( ) IEC( ) CENELEC( ) IEEE( ).....?,,.. Fluke 160- FC.. 1 1. 0%.,? NEC( ) 100 " / ". ( )....,,,, EMI, RFI. . 0.. NFPA IEEE 5.0. NEC " NEC 50.56 5. 5.0.".?.??

More information

Microsoft Power Point 2002

Microsoft Power Point 2002 PLC전기공압제어 강의 노트 제 7 회차 PLC 하드웨어의 구조 - 1 - 학습목표 1. PLC 하드웨어의 4가지 구성요소를 설명할 수 있다. 2. PLC 형명을 보고 PLC를 구분할 수 있다. 3. PLC 배선형태에 따라 입력기기와 출력기기를 구분할 수 있다. Lesson. PLC 하드웨어의 구조 PLC 하드웨어에 대한 이해의 필요성 PLC 하드웨어의 구성

More information

PowerPoint Template

PowerPoint Template Band Theory of Solids 그림 10.19 나트륨원자바닥상태에서채워진준위들중가장높은준위는 3s 준위이다. (a) 나트륨원자가서로접근함에따라, 전자파동함수의겹침에의해처음에는같았던 3s 준위들이두준위로갈라지게된다. (b) 새로생기는준위들의수는상호작용을하는원자수와같다. 여기서는 5개이다. (c) 고체나트륨과같이상호작용을하는원자수가매우많아지면, 준위들의간격이매우조밀한에너지띠로된다.

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Heinrich Rudolf Hertz (1857 1894) proved the existence of the electromagnetic waves theorized by James Clerk Maxwell's electromagnetic theory of light. Guglielmo Marconi (1874 1937) 1909 Nobel Prize in

More information

실험 5

실험 5 실험. apacitor 및 Inductor 의특성 교류회로 apacitor 의 apacitance 측정 본실험에서는 capacitor를포함하는회로에교류 (A) 전원이연결되어있을때, 정상상태 (steady state) 에서 capacitor의전압과전류의관계를알아본다. apacitance의값이 인 capacitor의전류와전압의관계는다음식과같다. i dv = dt

More information

What’s semiconductor?

What’s semiconductor? Lecture 2 집적회로란 : 무어의법칙, 집적화의장점, 종류, 반도체칩의일생 원광대학교이재철 http://edu.idec.or.kr Semiconductor? Conductor: Low resistivity Easily conducts Electrical Current Metals(copper, gold, sliver, etc.) Insulator: High

More information

Microsoft PowerPoint - Ch16

Microsoft PowerPoint - Ch16 Ch. 16 Oscillators 발진기 (Oscillator) 발진기 : 전원이인가된상태에서외부의입력신호없이회로자체의동작에의해특정주파수의신호 ( 정현파, 구형파, 삼각파, 톱니파 ) 를생성하는회로 종류 : 귀환 발진기 (Feedback oscillator), 이완 발진기 (elaxation oscillator) 귀환발진기 귀환발진기 : 출력신호의일부분이위상변이없이입력으로인가되어출력을강화

More information

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin

IS Rail + Rs1 Vin Rs2 Vo2 Vo1 그림 LM2902 의입력단구조 다음은 RRI(Rail-to-Rail Input) 구조이다. 구조가조금복잡하지만전부다이해할필요는 없다. RRI 방식을이해하는데도움이될만한부분이있어서그려본것뿐이다. Is Vin 4.3 Rail to Rail Input Output(RRIO) 방식의장점 최근들어, 저전압용으로개발되는 Op Amp. 는거의다 RRIO 방식을채택하고있는데, 이 RRIO 방식을단순히출력진동폭을최대화할수있는구조라는정도로받아들이고넘어가기에는좀찝찝해서이절을준비했다. 왜그런지를이해하기위해서는트랜지스터수준에서의회로설명이필요한데, 트랜지스터에관한설명은최대한억제한채,

More information

part3[11-15장].hwp

part3[11-15장].hwp 실험 11. 폐로전류 방정식 1. 실험 목적 1) 폐로전류 방정식에 대한 개념을 이해한다. 2) 실험을 통하여 폐로전류 방정식에 의한 계산 결과를 확인한다. 3) 이론에 의한 계산값과 컴퓨터 시뮬레이션 결과 그리고 실험에 의한 측정 결과값을 상호 비교 검토한다. 2. 관련 이론 회로가 여러개의 전원 및 저항소자에 의한 폐회로로 구성이 될 때, 각 저항소 자에

More information

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch03ysk2012.ppt [호환 모드] 전자회로 Ch3 iode Models and Circuits 김영석 충북대학교전자정보대학 2012.3.1 Email: kimys@cbu.ac.kr k Ch3-1 Ch3 iode Models and Circuits 3.1 Ideal iode 3.2 PN Junction as a iode 3.4 Large Signal and Small-Signal Operation

More information

1_12-53(김동희)_.hwp

1_12-53(김동희)_.hwp 본논문은 2012년전력전자학술대회우수추천논문임 Cascaded BuckBoost 컨버터를 이용한 태양광 모듈 집적형 저전압 배터리 충전 장치 개발 472 강압이 가능한 토폴로지를 이용한 연구도 진행되었지만 제어 알고리즘의 용의성과 구조의 간단함 때문에 BuckBoost 컨버터 또는 Sepic 컨버터를 이용하여 연구 가 진행되었다[10][13]. 태양광 발전

More information

歯03-ICFamily.PDF

歯03-ICFamily.PDF Integrated Circuits SSI(Small Scale IC) 10 / ( ) MSI(Medium Scale IC) / (, ) LSI(Large Scale IC) / (LU) VLSI(Very Large Scale IC) - / (CPU, Memory) ULSI(Ultra Large Scale IC) - / ( ) GSI(Giant Large Scale

More information

Microsoft Word - LAB_OPamp_Application.doc

Microsoft Word - LAB_OPamp_Application.doc 실험. OP Amp 의기본응용회로 Voltage Follower/Impedance Buffer 위의 OP amp 회로에서출력전압신호는입력전압신호와항상같으므로, voltage follower라고불린다. 이회로는어떤기능을가지는회로에부하저항을연결하였을때, 부하저항이미치는영향을최소화하기위해서사용될수있다. 예를들면 low-pass filter 회로에부하저항이연결된다음과같은회로를고려해본다.

More information

PowerPoint Presentation

PowerPoint Presentation RL 과 RC 회로의완전응답 기초회로이론 학습목표 2/42 RL 혹은 RC 회로를해석하는방법 완전해, 등차해, 특수해 RL 혹은 RC 회로에서완전응답, 과도응답, 정상상태응답을얻는방법 목차 3/42 1. RL 혹은 RC 회로의해석 2. 1차미분방정식의해 3. 무전원응답 4. 시정수 5. RL 혹은 RC 회로의 DC 전원응답 6. 연속스위칭회로 Section

More information

Microsoft PowerPoint - Ch15-1

Microsoft PowerPoint - Ch15-1 h. 5 ctive Filters 기본적인필터응답 (asic filter response) 저역통과필터응답 (low-pass filter (LPF) response) v( db) log when X out s log > πf X f X log π X log ( πf) asic LPF response LPF with different roll-off rates

More information

Microsoft PowerPoint 상 교류 회로

Microsoft PowerPoint 상 교류 회로 3상교류회로 11.1. 3 상교류의발생 평등자계중에놓인회전자철심에기계적으로 120 씩차이가나게감은코일 aa, bb,cc 를배치하고각속도의속도로회전하면각코일의양단에는다음식으로표현되는기전력이발생하게된다. 11.1. 3 상교류의발생 여기서 e a, e b, e c 는각각코일aa, bb, cc 양단에서얻어지는전압의순시치식이며, 각각을상 (phase) 이라한다. 이와같이전압의크기는같고위상이

More information

KAERI/AR-636/2002 : 技術現況分析報告書 : 방사선 계측기술 및 중성자 계측기 기술 개발 현황

KAERI/AR-636/2002 : 技術現況分析報告書 : 방사선 계측기술 및 중성자 계측기 기술 개발 현황 KAERI Radiation Gas ions - electrons + Gas-filled Detector Power Supply V Voltmeter Log(Pulse Height) Ionisation Chamber Proportional Counter Geiger-Müller Counter High initial Ionisation Low

More information

- 후쿠시마 원전사고의 진행과정 후쿠시마 제1원전(후쿠시마 후타바군에 소재)의 사고는 2011년 3월 11일 일본 동북부 지방 을 강타한 규모 9.0의 대지진으로 인해 원자로 1~3호기의 전원이 멈추게 되면서 촉발되었다. 당시에 후쿠시마 제1원전의 총 6기의 원자로 가

- 후쿠시마 원전사고의 진행과정 후쿠시마 제1원전(후쿠시마 후타바군에 소재)의 사고는 2011년 3월 11일 일본 동북부 지방 을 강타한 규모 9.0의 대지진으로 인해 원자로 1~3호기의 전원이 멈추게 되면서 촉발되었다. 당시에 후쿠시마 제1원전의 총 6기의 원자로 가 한국 원자력발전소의 위험성 201211307 임형주 다니엘 1. 들어가는 글 - 원자력발전소의 위험성 후쿠시마 원전사고로 인해 직접적인 피해를 입은 일본은 물론 주변의 많은 국가들이 피해를 입고 있다. 그리고 시간이 지날수록 원전피해의 영향은 고농도 오염지역으로부터 시작해서 점 점 가시화되어 직접적으로 나타나고, 그 범위 또한 점차적으로 넓어질

More information

Microsoft PowerPoint - dev7_rf.ppt [호환 모드]

Microsoft PowerPoint - dev7_rf.ppt [호환 모드] RF Devices 김영석 충북대학교전자정보대학 2011.3.1 Email: kimys@cbu.ac.kr 전화 : 043-261-3137 1 Contents RF Diodes Schottky Diode PN Diode aractor Diode MPATT Diode Tunnel Diode TRAPATT, BARRT, and Gunn Diode Bipolar Junction

More information

팬도캐드소개

팬도캐드소개 제목 : 4 층 50Ω, 55Ω, 90Ω Diff,100Ω Diff (1.46T) PCB 재질 : FR4( Er = 4.4 ) 외층 / 내층 : 1 Oz PCB 두께 : 1.46T ±10% CCL= 1.2T C 1/1 L3 0.08mm 0.08mm 0.09mm 0.09mm 0.26mm 0.26mm 프리프레그 (PrePreg) : 1080 0.06 mm, 2116

More information

KEIT PD(15-10)-내지.indd

KEIT PD(15-10)-내지.indd / KEIT PD / KEIT PD / SUMMARY,, 13 300, 15 341, 17 367 5.2% 13 6,000 2%, 90%,,,,,,, ㆍ ㆍ,,, KEIT PD Issue Report PD ISSUE REPORT OCTOBER 2015 VOL 15-10 1. (AC DC, DC AC), (, ),, MOSFET, IGBT(Insulated Gate

More information

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터

실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터 실험. Multimeter 의사용법및기초회로이론 Multimeter 의사용법 멀티미터 (Multimeter) 는저항, 전압, 전류등을측정할수있는계측기로서전면은다음그림과같다. 멀티미터를이용해서저항, 전압, 전류등을측정하기위해서는다음그림과같은프로브 (probe) 를멀티미터의전면패널에꼽는다. 통상적으로검은색프로브는전면패널의검은단자 (COM) 에꼽으며, 빨간색프로브는빨간색단자에꼽는다.

More information

온습도 판넬미터(JTH-05) 사양서V1.0

온습도 판넬미터(JTH-05)  사양서V1.0 온습도 조절기 Model:JTH-05 1. 제품 사양. [제품 구분] JTH-05A(입력 전원 AC), JTH-05D(입력 전원 DC) [전원 사양] JTH-05A 입력 전압 출력 전원 소비 전력 JTH-05D AC 90~240V DC 10~36V 12Vdc / Max.170mA Max.2W [본체 사이즈] ~ 온/습도 범위(본체): 사용 [0 ~ 50, 85%RH

More information

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open

1. 되먹임회로 -되먹임회로는출력의일정부분을입력부분에다시넣어주는역할 -전압이득이 인증폭기에되먹임율 인되먹임회로를연결 -되먹임율 는 0에서 1사이의값을가진다 -혼합기에서나오는신호 는입력신호 와되먹임신호 의합 - 을 에대입하면전압이득 는 - 는연산증폭기의열린이득 (open 1. 연산증폭기 -연산증폭기(operational amplifier) 는증폭기를 IC(integrated circuit, 집적회로 ) 로꾸민것이다. -입력임피던스가크고, 출력임피던스가작으며, 증폭률이아주큰특징을가지는증폭기로집적된것이다. -연산증폭기중에서가장널리이용되고있는 741에는 20개의트랜지스터, 11개의저항, 1개의축전기가크기 3mm 3mm에집적되어있다.

More information

BS-K1217-M□□-3012_ProductGuide_KR_PDF

BS-K1217-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN System [ASLINK ] S-K1217-M-3012..,.,....,,. S-K1217-M08-3012 S-K1217-M12-3012 S-K1217-M18-3012 S-K1217-M30-3012 2() () / 1 2 1 DC..,,.,,,..,....... ' ARW-04 (Ver.04-1.01 ),

More information

<4D F736F F F696E74202D F FB5BFBACEC7CFC0CCC5D820B1E8BFA9C8B22E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D F FB5BFBACEC7CFC0CCC5D820B1E8BFA9C8B22E BC8A3C8AF20B8F0B5E55D> Back Metal 면이 Drain 인 Vertical channel MOSFET 의 Wafer Test 에서 Chuck 을사용하지않는 RDSON 측정방법 동부하이텍검사팀김여황 I RDSON II Conventional Method III New Method IV Verification (Rdson) V Normal Test Item VI Conclusion

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

슬라이드 1

슬라이드 1 Chapter 3. Bipolar Junction Transistor Golden ule : Bipolar Junction Transistor C mitter : 화살표표시, forward bias V C C V Near Zero : Large C Bias : F ~ infinite C = h F B = C + B V F V C - h F 값은많이변하기때문에,

More information

Microsoft PowerPoint - ch07ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch07ysk2012.ppt [호환 모드] 전자회로 Ch7 CMOS Aplifiers 김영석 충북대학교전자정보대학 202.3. Eail: kiys@cbu.ac.kr k Ch7- 7. General Considerations 7.2 Coon-Source Stae Ch7 CMOS Aplifiers 7.3 Coon-Gate Stae 7.4 Source Follower 7.5 Suary and Additional

More information

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조

28 저전력복합스위칭기반의 0.16mm 2 12b 30MS/s 0.18um CMOS SAR ADC 신희욱외 Ⅰ. 서론 Ⅱ. 제안하는 SAR ADC 구조및회로설계 1. 제안하는 SAR ADC의전체구조 Journal of The Institute of Electronics and Information Engineers Vol.53, NO.7, July 2016 http://dx.doi.org/10.5573/ieie.2016.53.7.027 ISSN 2287-5026(Print) / ISSN 2288-159X(Online) 논문 2016-53-7-4 c Abstract

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

Microsoft PowerPoint - ch05ysk2012.ppt [호환 모드]

Microsoft PowerPoint - ch05ysk2012.ppt [호환 모드] 전자회로 h5 ipola Aplifies 김영석 충북대학교전자정보대학 0.3. ail: kiys@cbu.ac.k k h5- h5 ipola Aplifies 5. Geneal onsideations 5. Opeat Pot Analysis and Desin 5.3 ipola Aplifie Topoloies 5.4 Suay and Additional aples h5-

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 1 파워 IC 설계기술 2011. 4. 27 박시홍 단국대학교전자전기공학부 목차 2 - 파워 IC 설계주의사항. 동부하이텍 BD350 BCD 공정기준 - Power IC Design Examples. 24V/3A Synchronous Buck Converter. 40V/1A Antenna Driver Power IC Core Technology 3 핵심기술

More information

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드]

Microsoft PowerPoint - (공개)의료기기제작1-3.ppt [호환 모드] 의료기기제작실습 II 이름 : 이기영 (Lee, Ki Young) 전공 : 의공학 (Medical Engineering) 연구실 : 강릉캠퍼스 50주년기념관 514호이메일 : kylee@kd.ac.kr 학과홈 : http://cms.kd.ac.kr/user/bme/index.html 1 수업계획서 1주 필터회로의분석 2주 필터회로의구현 3주 반전 / 비반전증폭기

More information

<근대이전> ⑴ 문명의 형성과 고조선의 성립 역사 학습의 목적, 선사 문화의 발전에서 국가 형성까지를 다룬다. 역사가 현재 우리의 삶과 긴밀하게 연결되었음을 인식하고, 역사적 상상력을 바탕으 로 선사 시대의 삶을 유추해 본다. 세계 여러 지역에서 국가가 형성되고 문 명

<근대이전> ⑴ 문명의 형성과 고조선의 성립 역사 학습의 목적, 선사 문화의 발전에서 국가 형성까지를 다룬다. 역사가 현재 우리의 삶과 긴밀하게 연결되었음을 인식하고, 역사적 상상력을 바탕으 로 선사 시대의 삶을 유추해 본다. 세계 여러 지역에서 국가가 형성되고 문 명 2009년 개정 교육과정에 따른 교과 교육과정 적용을 위한 중학교 역사 교과서 집필 기준 ⑴ 문명의 형성과 고조선의 성립 역사 학습의 목적, 선사 문화의 발전에서 국가 형성까지를 다룬다. 역사가 현재 우리의 삶과 긴밀하게 연결되었음을 인식하고, 역사적 상상력을 바탕으 로 선사 시대의 삶을 유추해 본다. 세계 여러 지역에서 국가가 형성되고 문 명이

More information

Microsoft Word - Lab.7

Microsoft Word - Lab.7 Lab. 1. I-V C Lab. 7. Characterist tics of a Dio 능동필터 ode 1. 실험목표 연산증폭기를이용한저역통과필터 (low-pass filter), filter), 대역통과필터 (band-pass filter) 회로를구성, 연산증폭기능동필터회로를이해 고역통과필터 (high-pass 측정및평가해서 2. 실험회로 A. 연산증폭기능동필터

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 hap. 5 능동필터 기본적인필터응답 저역통과필터응답 (low-pass filter (LPF) response) A v( db) V 0log V when X out s 0log f X f X 0log X 0log f Basic LPF response LPF with different roll-off rates 기본적인필터응답 고역통과필터응답 (high-pass

More information

CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램

CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 CMOS 를이용한 2 단연산증폭기설계 (Design of 2Stage CMOS OP Amplifier) - 전자회로 (2) - 천성용 SungYong, Chun (csy1000@hanmir.com) 2009 년 6 월 16 일 영남대학교전자정보공학부전자공학심화프로그램 Dept. of Electronic Engineering, Yeungnam University,

More information

01. Start JAVA!

01. Start JAVA! 03. 기본논리게이트 1 1. TTL 과 CMOS 논리레벨정의영역 TTL CMOS +V cc 전압 (Volt) 5 4 논리-1(2.5V~5V) 3 2 정의되지않은영역 1 논리-0(0V~0.8V) 0 전압 (Volt) 5 4 논리-1(3.5V~5V) 3 정의되지않은영역 2 1 논리-0(0V~1.5V) 0 V in collector V out base emitter

More information

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

- 2 -

- 2 - - 2 - - 3 - - 4 - - 5 - - 6 - - 7 - - 8 - - 9 - 가 ) 가 ) 가 ) 가 ) - 10 - - 11 - 길이 피시험기기 주전원 절연지지물 케이블지지용절연물 접지면 발생기 - 12 - 길이 가능한경우 절연지지물 절연지지물 접지면 전자계클램프 감결합장치 - 13 - - 14 - - 15 - - 16 - - 17 - - 18 -

More information

PowerPoint Presentation

PowerPoint Presentation 5 불대수 IT CookBook, 디지털논리회로 - 2 - 학습목표 기본논리식의표현방법을알아본다. 불대수의법칙을알아본다. 논리회로를논리식으로논리식을논리회로로표현하는방법을알아본다. 곱의합 (SOP) 과합의곱 (POS), 최소항 (minterm) 과최대항 (mxterm) 에대해알아본다. 01. 기본논리식의표현 02. 불대수법칙 03. 논리회로의논리식변환 04.

More information

Chapter4.hwp

Chapter4.hwp Ch. 4. Spectral Density & Correlation 4.1 Energy Spectral Density 4.2 Power Spectral Density 4.3 Time-Averaged Noise Representation 4.4 Correlation Functions 4.5 Properties of Correlation Functions 4.6

More information

acdc EQ 충전기.hwp

acdc EQ 충전기.hwp www.sjproporc.com DIGITAL CHARGER & DISCHARGER Intelligent Balancer SJPROPO 서울특별시 강남구 일원동 642-11 대도빌딩 202호 2006 SJPROPO INC. SJ INCORPORATED 사용 설명서 제품 구성물 동작 중 표시 화면 B L C : B A L A N C E R C O N N E C

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

과목 : 전자회로실험과제명 : Diode의기본 ( 결과 ) 담당교수 : 손승대교수님학부전공 : 정보통신공학부전자전기공학전공 학 번 : 오영환 조호신

과목 : 전자회로실험과제명 : Diode의기본 ( 결과 ) 담당교수 : 손승대교수님학부전공 : 정보통신공학부전자전기공학전공 학 번 : 오영환 조호신 과목 : 전자회로실험과제명 : Diode의기본 ( 결과 ) 담당교수 : 손승대교수님학부전공 : 정보통신공학부전자전기공학전공 학 번 : 2009313537 오영환 2005310605 조호신 1. 서론 이번실험에서는 Diode 의수학적인모델 (Shockley Model) 을실험적으로확인해본다. 즉, 다이오 드에소신호가입력될때, 전압 - 전류간에선형화된모델을쓰는방법과대신호에대한지수함수

More information

BC6HP Korean.ai

BC6HP Korean.ai 제품설명서 BC6HP Microprocessor controlled highperformance rapid charger/discharger with integrated balancer, 250watts of charging power USB PC link and Firmware upgrade, Temperature sensor Charge current up

More information

낙랑군

낙랑군 낙랑군( 樂 浪 郡 ) 조선현( 朝 鮮 縣 )의 위치 -낙랑군 조선현의 평양설 및 대동강설 비판- 이덕일 (한가람역사문화연구소 소장) 1. 머리말 낙랑군의 위치는 오랜 쟁점이었고, 현재까지도 한 중 일 사이의 역사현안이기도 하다. 낙랑군 의 위치에 따라서 동북아 고대사의 강역이 달라지기 때문이다. 낙랑군의 위치 중에서도 가장 중요한 것은 낙랑군의 치소( 治

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

Microsoft PowerPoint _Lecture_U_01

Microsoft PowerPoint _Lecture_U_01 Introduction to Electrical Engineering (Principles and Applications of Electrical Engineering) School of Mechanical Engineering Chonnam National University Principles and Applications of Electrical Engineering

More information

PowerPoint Presentation

PowerPoint Presentation 디지털 CMOS 인버터의동작및특성 IT CookBook, 최신 VLSI 설계, 조준동, 성균관대학교 학습목표 CMOS 인버터의동작과구조를익힌다. CMOS 인버터의출력전류, 출력전압의특성을알아본다. 노이즈마진을구한다. 목차 1.CMOS 인버터의동작및구조 2.CMOS 인버터의출력전류 / 전압특성 Section 01 CMOS 인버터의동작및구조 1.1 CMOS 인버터의동작.

More information

DBPIA-NURIMEDIA

DBPIA-NURIMEDIA 한국태양에너지학회 VOL. 30, NO.1, 2010.4.8 대구 EXCO 춘계학술발표대회논문집 태양광용부스트컨버터의간략화모델링과제어기설계 임지훈 *, 최주엽 +, 송승호 *, 최익 *, 정승환 *, 안진웅 *, 이동하 * * 광운대학교전기공학과 (hipihipiyo@kw.ac.kr),+ 교신저자 : 광운대학교전기공학과 (juyeop@kw.ac.kr) **

More information

Microsoft PowerPoint - ch12ysk2015x [호환 모드]

Microsoft PowerPoint - ch12ysk2015x [호환 모드] 회로이론 h 가변주파수회로망의동작 김영석 충북대학교전자정보대학 5.9. Email: kimy@cbu.ac.kr k h- 소자의주파수특성 h 가변주파수회로망 : 학습목표 회로망함수의영점 zero 과극점 pole 회로망함수의보드선도 bode plot 직병렬공진회로해석 크기와주파수스케일링개념 저역통과 PF 고역통과 HPF 대역통과 BPF 대역저지 BF 필터특성 수동및능동필터해석

More information

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드]

Microsoft PowerPoint - lec06_2009_회로이론1 [호환 모드] Opertl Ampler A µa7 tegrted rut h eght etg p 주요한단자. ertg put. ertg put. utput. pte pwer upply 5. egte pwer upply b The rrepdee betwee the rled p umber the tegrted rut d the de the pertl mpler. NC : et

More information

08SW

08SW www.mke.go.kr + www.keit.re.kr Part.08 654 662 709 731 753 778 01 654 Korea EvaluationInstitute of industrial Technology IT R&D www.mke.go.kr www.keit.re.kr 02 Ministry of Knowledge Economy 655 Domain-Specific

More information

COMFILE_VOL13_20140204.cdr

COMFILE_VOL13_20140204.cdr "다양한 산업현장에서 쓰이고 있는 컴파일 제품" 데이터 수집 데이터 수집용 필드 I/O 제품 "모드포트" 필드 I/O 전력 모니터링 로봇 제어 태양광 발전 트랙커 제어 CUPC-P80 CT1721C CB405 포장기 화력발전소-화력 감지 시스템 녹방지장치(용존산소제거장치) CT1721C CB280, CLCD-216 CUWIN3500 일회용 용기 성형기 항온항습기

More information

% Rectangular Value 입력 t = -50 : 1 : 50; % 시간영역 for i = 1 : 101 if abs ( t ( i ) ) < 10 x ( i ) = 1; else x ( i ) = 0; % 화면을 2 열 1 행으로나눈후 % 2 열 1 행에 R

% Rectangular Value 입력 t = -50 : 1 : 50; % 시간영역 for i = 1 : 101 if abs ( t ( i ) ) < 10 x ( i ) = 1; else x ( i ) = 0; % 화면을 2 열 1 행으로나눈후 % 2 열 1 행에 R % sin 그래프계산및출력 t = -50 : 1 : 50; T = 10; f = 1/T; Nsin = sin ( ( 2 * pi * f * t ) ) % 시간영역 % 주기 % 주파수 % sin(2πft) % F(sin) 계산 Fsin = fftshift ( fft ( Nsin ) ); % 화면을 2 열 1 행으로나눈후 % 2 열 1 행에 Sin 그래프출력 subplot

More information

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9),

THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE Sep.; 30(9), THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2019 Sep.; 30(9), 712 717. http://dx.doi.org/10.5515/kjkiees.2019.30.9.712 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) MOS

More information

03 장태헌.hwp

03 장태헌.hwp THE JOURNAL OF KOREAN INSTITUTE OF ELECTROMAGNETIC ENGINEERING AND SCIENCE. 2013 Aug.; 24(8), 772 780. http://dx.doi.org/10.5515/kjkiees.2013.24.8.772 ISSN 1226-3133 (Print) ISSN 2288-226X (Online) HEMP

More information