<STM32CubeMX Guide In Korean>

Size: px
Start display at page:

Download "<STM32CubeMX Guide In Korean>"

Transcription

1 Getting started with STM32 MCU hardware development. INTRODUCTION 이문서는 STM32 시리즈를적용한 Hardware 설계시고려할전반적인내용들에대해소개하기위해작성되었습니다. 여기에는 Power supply, clock, Boot 선택, debugging 회로등에걸쳐회로설계시꼭지켜야하거나선택되어야하는내용이포함되어있습니다. 그리고좀더안정적인설계가되도록도움이되는정보도포함되어있습니다. 하지만, STM32 시리즈는 L0, L1, F0, F1, F2, F3, F4 로조금씩다른특성을가진다양한제품군으로구성되어있어, 각제품군의특성에따른상세내용은별도의 Application note / Data sheet / User Manual 문서에서확인하시기바랍니다.

2 Contents 1 POWER SUPPLIES INTRODUCTION POWER SUPPLY SCHEMES DEVICE 별 POWER SUPPLY RANGE POWER SUPPLY SUPERVISOR SYSTEM RESET CLOCKS INTRODUCTION HSE External source (HSE bypass) External crystal/ceramic resonator (HSE crystal) LSE External source (LSE bypass) External crystal/ceramic resonator (LSE crystal) BOOT INTRODUCTION BOOT MODE 설정 SYSTEM BOOT LOADER JTAG/SWD INTRODUCTION SWJ DEBUG PORT (SERIAL WIRE AND JTAG) RECOMMENDATIONS PCB GROUND AND POWER SUPPLY DECOUPLING UNUSED I/O Figures Figure 1. Power supply scheme : example of STM32F4xx... 6 Figure 2. BYPASS_REG supervisor reset connection... 7 Figure 3. Reset circuit Figure 4. External clock Figure 5. Crystal/ceramic resonators Figure 6. External clock Figure 7. Crystal/ceramic resonators Figure 8. Boot mode selection implementation example Figure 9. Embedded bootloaders

3 Figure 10. Embedded bootloaders Figure 11. Host to board connection Figure 12. JTAG connector implementation Figure 13. Typical layout for VDD/VSS pair Tables Table 1. Power supply range : L0, L1, F Table 2. Power supply range : F1, F2, F Table 3. Power supply range : F4, F Table 4. Power supply supervisor Table 5. Boot modes Table 6. Debug pin assignmment Table 7. SWJ pin availability Table 8. ST-Link V2 의 JTAG connecter pin description

4 1 Power supplies 1.1 Introduction STM32 MCU 는아래의몇가지전원핀들에적절한전원 / 전원회로가필요합니다. VDD(*1.65V ~ 3.6 V) : Digital I/O 들과내부 regulator 에전원을공급합니다. Package 별로여러개의 VDD 핀들을통해공급합니다. * 최소전압은각제품군마다상이하며해당 Data sheet 에서확인가능합니다. * VDD 전원이 1.8V 이하로사용될경우는 Brownout reset/power Down reset 에관련된내용을확인하여정상동작가능하도록설계해야합니다. VDDA(*1.7V ~ 3.6 V) : ADC, DAC, Comparators 등아날로그장치들과, Reset, RC oscillators, PLL 등시스템을위한장치들에전원을공급합니다. * VDDA 의사용가능범위는각제품군마다상이하며, 다양한 condition 별로조건이다르며해당 Data sheet 에서확인가능합니다. Vref+(*1.7V ~ VDDA) : ADC, DAC 에서 data conversion 시사용되는기준전압입니다. AD, DA 변환의정확도를높이거나 application 에부합하기위해외부에서 VDDA 와별도로공급이가능합니다. Vref+ 는일부 package 에서는외부 pin 이지원되지않습니다. * Vref+ 의사용가능범위는각제품군마다상이하며, 다양한 condition 별로조건이다르며해당 Data sheet 에서확인가능합니다. Vbat(*1.65V ~ 3.6 V) : RTC, Backup register, Backup SRAM 등의전원을공급합니다. Application 에따라 battery 를연결하여사용하거나, VDD 에연결해서사용됩니다. * Vbat 의사용가능범위는각제품군마다상이하며, 다양한 condition 별로조건이다르며해당 Data sheet 에서확인가능합니다. VCAP : core, memory 그리고일부 digital peripherals 에전원을공급하는내부 voltage regulator 의 output load capacitor 를연결하는 pin 입니다. 경우에따라, 일부 device 에서는내부 regulator 를끄고, 외부로부터 core 용전원을직접공급받을수도있습니다 (BYPASS_REG 핀으로설정 ). 4

5 그외전원관련 pin 들 : 해당 device 의 data sheet 나 Getting started with STM32xxx hardware development 문서에서해당 pin 들의필요조건들을확인하시기바랍니다. o o o o VDD_USB VLCD, VLCDrail1, VLCDrail2, VLCDrail3 VDDIO2 VREFSD+, VREFSD-, VDDSD, VDDSD12, VDDSD3, VSSSD 5

6 1.2 Power supply schemes MCU 회로는안정된전원장치로부터 VDD 에전원이공급되어야합니다. 그리고동작중안정된 전원을유지하기위해아래 Figure 1 과같이소자를추가하여설계해야합니다. Figure 1. Power supply scheme : example of STM32F4xx VDD pin 에는 device 당최소하나의 4.7uF~10uF 의 Tantal 또는 Ceramic capacitor 와 VDD pin 당하나의 100nF capacitor 를근접하여부착합니다. VDDA pin 에는하나의 1uF 의 Tantal 또는 Ceramic capacitor 와하나의 100nF capacitor 를 근접하여부착합니다. 6

7 Vref+ pin 에는하나의 1uF~10uF 의 Tantal 또는 Ceramic capacitor 와하나의 100nF capacitor 를근접하여부착합니다. VBAT pin 에는외부배터리를연결하여사용합니다. 단, 외부배터리를사용하지않을경우는 VBAT pin 에 VDD 와동일한전원을연결하고, 100nF 의 capacitor 를부착해야합니다. VCAP : VCAP pin 이하나만존재하는경우 4.7uF, VCAP pin 이두개인경우각각 2.2uF Ceramic capacitor 를근접하여부착합니다. *( 주의 ) Regulator OFF mode 를사용할경우는 Figure 2 와같이외부에서 Vcore 전원 (1.2V) 을 VCAP pin 에공급해야하며, pin 마다 100nF capacitor 를근접하여부착합니다. 그리고이모드를사용할경우의사용상제한사항이나추가적인외부 control 에대해꼭확인하시기바랍니다. Figure 2. BYPASS_REG supervisor reset connection 7

8 1.3 Device Power supply range 아래 Table 1, 2, 3 에서 STM32 시리즈별 VDD, VDDA, Vref, Vbat 의 range 를정리하였습니다. 전원단설계시꼭참조하시기바랍니다. Device L0 L1 F0 Full 1.71V VDD 3.6V 2.0V VDD 3.6V VDD speed 2.0V VDD 3.6V condition Range V VDD 3.6V 1.65V VDD 3.6V Range V VDD 3.6V 1.65V VDD 3.6V VDDA condition ADC USED 1.8V VDDA = VDD 3.6V 1.8V VDDA = VDD 3.6V 2.4V & VDD VDDA 3.6V *(VDD-VDDA < 0.4V) Vref+ condition ADC not USED ADC USED ADC not USED 1.65V VDDA = VDD 3.6V 1.65V VDDA = VDD 3.6V 2.0V & VDD VDDA 3.6V 1.8V Vref+ VDDA 0V Vref+ VDDA 2.4 V VREF+ = VDDA (full speed) 1.8 V VREF+ = VDDA (500Ksps) 2.4 V VREF+ < VDDA (500Ksps) 1.8 V VREF+ < VDDA (250Ksps) N.A 0V Vref+ VDDA Vbat condition 1.65V Vbat 3.6V 1.65V Vbat 3.6V 1.65V Vbat 3.6V Table 1. Power supply range : L0, L1, F0 Device F1 F2 F3 Full speed 1.8V VDD 3.6V VDD Range 2 2.0V VDD 3.6V 1.65V VDD 3.6V 2.0V VDD 3.6V condition Range 3 (WLCSP only) VDDA condition ADC USED 2.4V VDDA = VDD 3.6V 2.0V VDDA = VDD 3.6V (2Msps) 1.8V VDDA = VDD 3.6V (1Msps) 2.4V VDDA 3.6V *(VDD-VDDA < 0.4V) Vref+ condition ADC not USED 2.0V VDDA = VDD 3.6V 1.8V VDDA = VDD 3.6V 2.0V VDDA 3.6V ADC USED 2.4 V VREF+ VDDA 1.8V Vref+ VDDA ADC not USED 1.65V Vref+ VDDA 2.0V Vref+ VDDA (F30x) 2.4V Vref+ VDDA (F37x, F38x) Vref+ = VDDA 0V Vref+ VDDA (F383) Vbat condition 1.8V Vbat 3.6V 1.8V Vbat 3.6V 1.65V Vbat 3.6V Table 2. Power supply range : F1, F2, F3 8

9 Device F4 F7 Full speed 1.8V VDD 3.6V VDD Range 2 1.7V VDD 3.6V TBD condition Range 3 (in restrict condition) VDDA condition ADC USED 2.4V VDDA = VDD 3.6V (2.4Msps) 1.8V VDDA = VDD 2.4V (1.2Msps) 1.7V VDDA = VDD 3.6V (in restrict condition) TBD ADC not USED N.D TBD Vref+ condition ADC USED 1.7 V & (VDDA-1.2V) VREF+ VDDA TBD ADC not USED 1.65V Vref+ VDDA TBD Vbat condition 1.65V Vbat 3.6V 1.65V Vbat 3.6V Table 3. Power supply range : F4, F7 9

10 1.4 Power supply supervisor STM32 시리즈의전원은내장된 POR/PDR(Power On Reset/Power Down Reset), BOR(Brown Out Reset), PVD(Programmable Voltage Detector) 회로에의해계속모니터링되어전원의변화에의한오작동을대비할수있습니다. Table 4. Power supply supervisor 에서각제품군별로사용가능한모니터회로와범위를확인할수있습니다. 전원회로설계시강건한제품설계를위해꼭참조하여사용하시기바랍니다. POR/PDR BOR PVD POR/PDR BOR PVD *( 주의 ) 낮은동작전압설계를위해 PDR 이나 BOR 등을비활성화하는경우는해당 device 의 data sheet 나 Getting started with STM32xxx hardware development 문서에서보다자세한 사용조건을확인하시기바랍니다. Device L0 L1 F0 F1 threshold (Max) 1.65V 1.65V 2.0V 2.0V hysteresis? mv? mv 40 mv 40 mv generate reset reset reset reset Active Always Always Always Always Range 1.8V ~ 3.0V 1.8V ~ 3.0V - - Number of thresholds hysteresis 40mV or 100mV 40mV or 100mV - - generate reset reset - - control option bytes option bytes - - Active option bytes option bytes - - Range 1.85V ~ 3.05V 1.85V ~ 3.05V 2.08V ~ 2.78V 2.08V ~ 2.78V Number of thresholds hysteresis 100mV 100mV 100mV 100mV generate interrupt interrupt interrupt interrupt control software software software software Device F2 F3 F4 F7 threshold (Max) 1.8V 2.0V 1.8V TBD hysteresis 40 mv 40 mv 40 mv TBD generate reset reset reset TBD Active Always Always by PDR_ON pin TBD Range 1.8V ~ 2.97V - 1.8V ~ 2.97V TBD Number of thresholds 3-3 TBD hysteresis 100mV - 100mV TBD generate reset - reset TBD control option bytes - option bytes TBD Active option bytes - option bytes TBD Range 2.04V ~ 3.03V 2.08V ~ 2.78V 2.04V ~ 3.03V TBD Number of thresholds TBD hysteresis 100mV 100mV 100mV TBD generate interrupt interrupt interrupt TBD control software software software TBD 10

11 Table 4. Power supply supervisor 1.5 System reset STM32 시리즈는 reset 회로가필요하지않습니다. 유일하게 EMS 성능을높이기위해 Figure 3 과같이외부에 100nF 의 pull-down capacitor 만으로 reset 회로가구성될수있습니다. *( 주의 ) 외부에서 NRST pin 을제어할경우 Open-drain 특성을가지는 output port 로제어하시기바랍니다. 외부에 push-pull 특성의 output port 가연결되어있을경우내부리셋 (WWDG, IWDG, Power Reset, Software Reset ) 이동작하지못하게되어오류 (HW/SW) 가발행할경우시스템이내부적으로복구되지않게됩니다. Figure 3. Reset circuit 11

12 2 Clocks 2.1 Introduction STM32 시리즈는아래의다양한 clock 소스를 system clock(sysclk) 으로사용할수있습니다. HSI (High Speed Internal clock) HSE (High Speed External clock) PLL MSI (Multi Speed Internal clock) ( 일부 device 만지원 ) STM32 시리즈는아래의다양한 clock 소스를 secondary clock 으로사용할수있습니다. LSI (Low Speed Internal clock) : Device 에따라 32KHz, 37KHz, 40KHz 의 LSI 를지원하며 IWDG, RTC 에사용될수있습니다. LSE (Low Speed External clock) : External clock 이나 crystal 로부터 clock 을공급받으며, RTC 의 clock 으로사용될수있습니다. HSI 14MHz (14MHz High Speed Internal clock) ( 일부 device 만지원 ) : ADC 의 clock 으로사용될수있습니다. HSI48 (48MHz High Speed Internal clock) ( 일부 device 만지원 ) : USB 와 Random number generator 에사용될수있습니다. 특히 HSI48 clock 이제공되는 device 는외부에 crystal 이나 oscillator 가없이도 USB 통신이가능합니다. 12

13 2.2 HSE HSE 는아래두가지형태의 clock source 로부터 clock 을만들수있습니다. HSE user external clock (Figure 4) HSE external crystal/ceramic resonator (Figure 5) Figure 4. External clock Figure 5. Crystal/ceramic resonators External source (HSE bypass) Device 마다입력가능한 HSE clock speed 가다릅니다. HSE 에외부 clock 을연결하여사용할 경우해당 device 의 data sheet 에서입력가능범위을확인하시기바랍니다. *( 주의 ) External clock source 는 50% 의 duty 를가져야하며, OSC_IN pin 으로입력되어야합니다. 그리고 OSC_OUT pin 은꼭 hi-impedance 를유지 (N.C 처리 ) 해야합니다 External crystal/ceramic resonator (HSE crystal) Device 마다구동가능한 crystal frequency 가다릅니다. HSE 에 crystal/ceramic resonator 를연결하여사용할경우해당 device 의 data sheet 에서입력가능범위을확인하시기바랍니다. *( 주의 ) crystal 의안정적인발진을위해서는 CL1, CL2 는사용할 crystal 과 impedance 매칭이 되어야합니다. Crystal 발진관련내용은 AN2867 : Oscillator design guide for ST microcontrollers 문서를참조하시기바랍니다. 13

14 2.3 LSE LSE 는아래두가지형태의 clock source 로부터 clock 을만들수있습니다. LSE user external clock (Figure 6) LSE external crystal/ceramic resonator (Figure 7) Figure 6. External clock Figure 7. Crystal/ceramic resonators External source (LSE bypass) Device 마다입력가능한 LSE clock speed 가다릅니다. LSE 에외부 clock 을연결하여사용할 경우해당 device 의 data sheet 에서입력가능범위을확인하시기바랍니다. *( 주의 ) External clock source 는 50% 의 duty 를가져야하며, OSC32_IN pin 으로입력되어야합니다. 그리고 OSC32_OUT pin 은꼭 hi-impedance 를유지 (N.C 처리 ) 해야합니다 External crystal/ceramic resonator (LSE crystal) Device 마다구동가능한 crystal frequency 가다릅니다. LSE 에 crystal/ceramic resonator 를연결하여사용할경우해당 device 의 data sheet 에서입력가능범위을확인하시기바랍니다. *( 주의 ) crystal 의안정적인발진을위해서는 CL1, CL2 는사용할 crystal 과 impedance 매칭이 되어야합니다. Crystal 발진관련자세한내용은 AN2867 : Oscillator design guide for ST microcontrollers 문서를참조하시기바랍니다. 14

15 3 Boot 3.1 Introduction STM32 는 Table 5 에나오듯 3 가지 boot mode 중에서선택이가능합니다. Boot mode 는주로 Main flash memory 에 application binary 를 download 해야하는경우변경 / 선택하게됩니다. 양산을할때나, 사용자의 application 이 FW update 할경우 STM32 에내장되어있는 System boot loader 를사용할수있습니다. 이경우 User 가 IAP 를개발하지않아도되기때문에유용하게사용될수있습니다. Boot mode selection BOOT1 (1) BOOT0 Boot mode Aliasing x 0 Main Flash memory Main Flash memory is selected as boot space 0 1 System memory System memory is selected as boot space 1 1 Embedded SRAM Embedded SRAM is selected as boot space Table 5. Boot modes Main Flash memory : 사용자 application binary 가저장되는 memory 로전원인가후곧바로 application code 가수행되어야하는경우 boot mode 를 Main flash memory 로선택합니다. System memory : STM32 출하시이미라이팅되어있는 memory 로 System boot loader 를사용할경우 boot mode 를 System memory 로선택합니다. Embedded SRAM : Reset 후 STM32 내부의 SRAM 에서 code 가수행되어야하는경우 boot mode 를 Embedded SRAM 으로선택합니다. 3.2 Boot mode 설정대부분의 STM32 제품군의 Boot mode 설정방법은 BOOT0, BOOT1 번핀의 HW 구성으로설정하게됩니다. BOOT0, BOOT1 번 pin 들이 Table 5 의 BOOT0, BOOT1 과대응하게됩니다. 이런경우 Figure 8 과같이 boot mode 를선택할수있습니다. 15

16 Figure 8. Boot mode selection implementation example 일부제품군 (F0, F3, L0 series) 은 BOOT1 의선택이 HW 로선택되어지지않습니다. 이제품들은 BOOT1 을 Option byte 의 nboot1 bit 에의해결정됩니다. nboot1 bit 는 BOOT1 으로 latch 될때값이반전됩니다. 즉, nboot1 bit 가 1 일경우 BOOT1 이 0 가되어 Main flash memory 나 System memory 에서 booting 이가능합니다. * 위두가지형태모두 BOOT0, BOOT1 은 reset 이발생한이후나 Standby mode 에서빠져나간 후 4 번째 SYSCLK 의 rising edge 에서 latch 가일어납니다. 3.3 System boot loader System bootloader 는 STM32 device 의양산공정에서 System memory 에프로그래밍됩니다. 제품에따라 USART, CAN, USB, I2C, SPI, 등의통신 protocol 을이용할수있으며, 사용가능한 protocol 이나해당 pin 은 datasheet 나 AN2606 : STM32 microcontroller system memory boot mode 에서확인할수있습니다. Figure 9, 10 은제품별내장된 boot loader 에대한요약입니다. System bootloader 검토시 참조하시기바랍니다. 16

17 Figure 9. Embedded bootloaders 17

18 Figure 10. Embedded bootloaders 18

19 4 JTAG/SWD 4.1 Introduction Host 와 Target 과의 interface 는 Figure 11 과같이 JTAG / SWD 를지원하는 debug tool 을통해 Host PC 는 STM32 와연결됩니다. ( 일부 device 의경우 SWD 만을지원하는 device 도있습니다.) Figure 11. Host to board connection 4.2 SWJ debug port (serial wire and JTAG) STM32 는 ARM 사의 standard CoreSight debug port 인 SWJ-DP 가내장되어있습니다. SWJ- DP 는 JTAG-DP(5pin) 와 SW-DP(2pin) 가조합되어있습니다. 필요에따라선택하여사용하실수있습니다. Table 6 은 SWJ-DP 용 pin 들에대한설명과위치를보여줍니다. Table 6. Debug pin assignmment 19

20 Table 7 은사용가능한 debugging 기능과 pin 조합을보여줍니다. Table 7. SWJ pin availability Figure 12 는표준 JTAG connector 와의연결방법을보여줍니다. JTAG connector 의회로구성은 debugger 에따라일부핀의회로구성이다를수있음으로, 사용할 debugger 의 user manual 을확인하시기바랍니다. Figure 12. JTAG connector implementation 20

21 Table 8 은 ST-Link debugger 의 JTAG connector 의 pin description 입니다. Table 8. ST-Link V2 의 JTAG connecter pin description 21

22 5 Recommendations 5.1 PCB 기술적으로가장좋은 PCB 는 power 와 ground 층을각각가질수있는 multilayer 를채택하는것입니다. 하지만 application 의가격경쟁력이나다른이유로 multilayer 를채택하기힘든경우는최대한넓은 ground pattern 구성과전류이동이원활할수있는구조로 PCB 를설계하는것이좋습니다. 5.2 Ground and power supply 모든 power 블럭은각각의넓은 ground pattern 을가지도록설계하는것이좋습니다. 그리고각 power 블럭은 supply loop 를최소화할수있도록해당전원과가까이 ground pattern 을위치시킴니다. Supply loop 가길어지면, loop 가 antenna 처럼동작해서 EMI 의송신역활또는수신역활을하여 EMI 특성이나빠집니다. 그리고 PCB layout 에서남는공간은모두 ground pattern 으로만들어서쉴드의역활을할수있게하는것도 EMI 특성을높이는데도움이됩니다. 5.3 Decoupling MCU 에안정적인 power 를공급하기위해각각 VDD/VSS pair 에는 application 에따라 10nF~100nF 정도의 decoupling capacitor 를연결하는것이좋습니다. 그리고 device 에하나이상의 4.7uF 정도의 capacitor 를추가하는것을추천합니다. Figure 13 과같이모든 decoupling capacitor 는 device 에최대한가까이위치시키는것이좋습니다. Figure 13. Typical layout for VDD/VSS pair 22

23 5.4 Unused I/O EMC 성능을높이기위해사용하지않는 I/O 들은외부나내부의 pull-up/pull-down 저항을연결하여 logic 0 이나 1 을유지하도록하는것이좋습니다. 일부전류소모가중요한 application 에서는 I/O 의전류소모를줄이기위해 analog input 으로설정하는것이도움이됩니다 STMicroelectronics all right reserved 저작권자의사전서면승인이없을경우전체또는일부내용을복제및가공할수없습니다. 본문서를비롯해문서에담긴정보및관리원칙은 ST 의계약조건이행성립을위해작성된내용이아니며, 사전고지없이변경될수있습니다. 23

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW

TITLE: Education LK Development Team Status S/N Revision V0.1 Date 2011 /08/09 Doc LK임베디드 LK-STM32-M Manual ST-STM32F 모듈매뉴얼 (Model: LK-STM32-M V01) WW ST-STM32F 모듈매뉴얼 (Model: V01) WWW.LKEMBEDDED.CO.KR 2011 LK EMBEDDED version 1.0 페이지 1 1. 제품사진및모듈설명 그림. 모듈사진 1.1 제품소개 ST사의 32-Bit 플래시마이크로컨트롤러는임베디드어플리케이션을위해특별히디자인된최신의 ARM Cortex-M3 코어기반을하고있습니다. 32-Bit 플래시마이크로컨트롤러를이용하여학습및제품개발을핛수있는모듈입니다.

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0

Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 Ultimate High Performance Audio tx-usb Operating Instructions Rev : 1.0 www.sotm-audio.com 주의사항및 A/S 정보 사용자주의사항 반드시본사용설명서를모두읽은후제품을사용하십시오. 제품의분해, 개조등을하지마십시오. 제품에진동, 충격을가하지마십시오. 손상되거나피복이벗겨진 cable은사용하지마십시오.

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

DIB-100_K(90x120)

DIB-100_K(90x120) Operation Manual 사용설명서 Direct Box * 본 제품을 사용하기 전에 반드시 방송방식 및 전원접압을 확인하여 사용하시기 바랍니다. MADE IN KOREA 2009. 7 124447 사용하시기 전에 사용하시기 전에 본 기기의 성능을 충분히 발휘시키기 위해 본 설명서를 처음부터 끝까지 잘 읽으시고 올바른 사용법으로 오래도록 Inter-M 제품을

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

<STM32CubeMX Guide In Korean>

<STM32CubeMX Guide In Korean> Crystal oscillator design guide for STM8 and STM32 microcontrollers. INTRODUCTION 이문서는 STM8, STM32 시리즈를적용한 Hardware 설계시외부 clock 소스로 crystal 을사용할경우고려해야할내용에대해소개하기위해작성되었습니다. 이문서는 ST 에서제공하는 AN2867 Oscillator

More information

전자실습교육 프로그램

전자실습교육 프로그램 제 5 장 신호의 검출 측정하고자 하는 신호원에서 발생하는 신호를 검출(detect)하는 것은 물리측정의 시작이자 가장 중요한 일이라고 할 수가 있습니다. 그 이유로는 신호의 검출여부가 측정의 성패와 동의어가 될 정도로 밀접한 관계가 있기 때문입니다. 물론 신호를 검출한 경우라도 제대로 검출을 해야만 바른 측정을 할 수가 있습니다. 여기서 신호의 검출을 제대로

More information

歯AG-MX70P한글매뉴얼.PDF

歯AG-MX70P한글매뉴얼.PDF 120 V AC, 50/60 Hz : 52 W (with no optional accessories installed), indicates safety information. 70 W (with all optional accessories installed) : : (WxHxD) : : 41 F to 104 F (+ 5 C to + 40 C) Less than

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc

Microsoft Word - JAVS_UDT-1_상세_메뉴얼.doc UDT-1 TRANSPORTER 한글 상세 제품 설명서 SoundPrime. 저작권 본 저작권은 Soundprime 이 소유하고 있습니다. Soundprime 의 허가 없이 정보 검색 시스템상에서 복사, 수정, 전달, 번역, 저장을 금지하며, 컴퓨터언어나 다른 어떠한 언어로도 수정될 수 없습니다. 또한 다른 형식이나 전기적, 기계적, 자기적, 광학적, 화학적,

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

KDTÁ¾ÇÕ-1-07/03

KDTÁ¾ÇÕ-1-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-PLC Total Solution for Industrial Automation PLC (Program Logic Controller) Sphere 8 Total Solution For Industrial Automation PLC Application

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

SW_faq2000번역.PDF

SW_faq2000번역.PDF FREUENTLY ASKED UESTIONS ON SPEED2000 Table of Contents EDA signal integrity tool (vias) (via) /, SI, / SPEED2000 SPEED2000 EDA signal integrity tool, ( (via),, / ), EDA, 1,, / 2 FEM, PEEC, MOM, FDTD EM

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

Microsoft PowerPoint - eSlim SV5-2510 [080116]

Microsoft PowerPoint - eSlim SV5-2510 [080116] Innovation for Total Solution Provider!! eslim SV5-2510 Opteron Server 2008. 03 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2510 Server Quad-Core and Dual-Core Opteron 2000 Series 6 internal HDD bays for SAS

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202831C1D6C2F72C2032C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202831C1D6C2F72C2032C1D6C2F729> 2주차 ATmega128의내부구조및퓨즈비트설정 Next-Generation Networks Lab. 4. ATmega128의클럭시스템과배분 시스템클럭 마이크로컨트롤러동작의기준이되는클럭의주파수 AVR은시스템클럭을내부모듈별로독립적으로배분하여제어 슬립 (Sleep) 모드를활용하여내부모듈별로클럭차단이가능하여전력소모관리 퓨즈비트를통해다양한클럭소스선택가능 클럭배분 (

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu WISOL / SFM11R2D P/N: DATA SHEET Rev.01 WISOL 531-7, Gajang-ro,Osan-si,Gyeonggi-do Rep. of Korea http://www.wisol.co.kr CONTENTS 1. Approval Revision Record... 3 2. Scope... 4 3. Numbering of product...

More information

(specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements) 11 (location selection) 12 (storage bin) 12 (i

(specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements) 11 (location selection) 12 (storage bin) 12 (i SERVICE MANUAL N200M / N300M / N500M ( : R22) e-mail : jhyun00@koreacom homepage : http://wwwicematiccokr (specifications) 3 ~ 10 (introduction) 11 (storage bin) 11 (legs) 11 (important operating requirements)

More information

<4D F736F F F696E74202D20342EBACEC6AEB8F0B5E520B9D720C7C3B7A1BDC3B7D220C7C1B7CEB1D7B7A52E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20342EBACEC6AEB8F0B5E520B9D720C7C3B7A1BDC3B7D220C7C1B7CEB1D7B7A52E BC8A3C8AF20B8F0B5E55D> 강좌 : 부트모드및플래시롬프로그램 벼는익을수록고개를숙인다는데 하지만모두고개를숙이고있으면심심하므로 작성일자 :.. 어설픈초보의강좌는계속됩니다. ㅎㅎ목표 : 이번강좌에서는하드웨어적인면에서도좀살펴보고, JTAG 디버거툴말고, 다른방법으로플래시롬을굽는방법에대해서도살펴보겠습니다. 이런부류의임베디드 ARM을공부하는이유는응용보드를제작사용하고자함이므로, 회로도작성, PCB

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

KDTÁ¾ÇÕ-2-07/03

KDTÁ¾ÇÕ-2-07/03 CIMON-PLC CIMON-SCADA CIMON-TOUCH CIMON-Xpanel www.kdtsys.com CIMON-SCADA Total Solution for Industrial Automation Industrial Automatic Software sphere 16 Total Solution For Industrial Automation SCADA

More information

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074>

<4D F736F F F696E74202D20BEC6B3AFB7CEB1D7B9D7C6C4BFF64943BFF6C5A9BCA55F FBEC8B1E6C3CA2E707074> 아날로그및파워 IC 워크샵 저전력아날로그 IC 설계기술 서강대학교전자공학과안길초 Contents 2 1 2 Introduction Low-Power Design Techniques 3 Conclusions 1. Introduction 3 Why Low-Power? (1) 4 Increasing demand for mobile applications Longer

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Preliminary spec(K93,K62_Chip_081118).xls

Preliminary spec(K93,K62_Chip_081118).xls 2.4GHz Antenna K93- Series KMA93A2450X-M01 Antenna mulilayer Preliminary Spec. Features LTCC Based designs Monolithic SMD with small, low-profile and light-weight type Wide bandwidth Size : 9 x 3 x 1.0mm

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

歯메뉴얼v2.04.doc

歯메뉴얼v2.04.doc 1 SV - ih.. 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 - - - 23 24 R S T G U V W P1 P2 N R S T G U V W P1 P2 N R S T G U V W P1 P2 N 25 26 DC REACTOR(OPTION) DB UNIT(OPTION) 3 φ 220/440 V 50/60

More information

서보교육자료배포용.ppt

서보교육자료배포용.ppt 1. 2. 3. 4. 1. ; + - & (22kW ) 1. ; 1975 1980 1985 1990 1995 2000 DC AC (Ferrite) (NdFeB; ) /, Hybrid Power Thyrister TR IGBT IPM Analog Digital 16 bit 32 bit DSP RISC Dip SMD(Surface Mount Device) P,

More information

Microsoft PowerPoint - eSlim SV5-2410 [20080402]

Microsoft PowerPoint - eSlim SV5-2410 [20080402] Innovation for Total Solution Provider!! eslim SV5-2410 Opteron Server 2008. 3 ESLIM KOREA INC. 1. 제 품 개 요 eslim SV5-2410 Server Quad-Core and Dual-Core Opteron 2000 Series Max. 4 Disk Bays for SAS and

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

untitled

untitled CLEBO PM-10S / PM-10HT Megapixel Speed Dome Camera 2/39 3/39 4/39 5/39 6/39 7/39 8/39 ON ON 1 2 3 4 5 6 7 8 9/39 ON ON 1 2 3 4 10/39 ON ON 1 2 3 4 11/39 12/39 13/39 14/39 15/39 Meg gapixel Speed Dome Camera

More information

BC6HP Korean.ai

BC6HP Korean.ai 제품설명서 BC6HP Microprocessor controlled highperformance rapid charger/discharger with integrated balancer, 250watts of charging power USB PC link and Firmware upgrade, Temperature sensor Charge current up

More information

歯FDA6000COP.PDF

歯FDA6000COP.PDF OPERATION MANUAL AC Servo Drive FDA6000COP [OPERATION UNIT] Ver 1.0 (Soft. Ver. 8.00 ~) FDA6000C Series Servo Drive OTIS LG 1. 1.1 OPERATION UNIT FDA6000COP. UNIT, FDA6000COP,,,. 1.1.1 UP DOWN ENTER 1.1.2

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

R50_51_kor_ch1

R50_51_kor_ch1 S/N : 1234567890123 Boot Device Priority NumLock [Off] Enable Keypad [By NumLock] Summary screen [Disabled] Boor-time Diagnostic Screen [Disabled] PXE OPROM [Only with F12]

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

MicrocontrollerAcademy_Lab_ST_040709

MicrocontrollerAcademy_Lab_ST_040709 Micro-Controller Academy Program Lab Materials STMicroelectronics ST72F324J6B5 Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun Sang Sa Ltd. Seung Jun

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

GLHPS-D

GLHPS-D Digital Hot Plate & Stirrer GLHPS-D 글로벌랩의 제품을 구입하여 주셔서 감사드립니다. 제품을 사용하시기 전에 안전을 위한 준비사항 을 읽고 올바르게 사용해 주십시오. 이 사용설명서는 제품을 직접 사용하시는 분에게 보내어지도록 하여 주십시오. 사용 전 주의 사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 막기 위한 내용으로 반드시

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

<BBEABEF7B5BFC7E22DA5B12E687770>

<BBEABEF7B5BFC7E22DA5B12E687770> 2 40) 1. 172 2. 174 2.1 174 2.2 175 2.3 D 178 3. 181 3.1 181 3.2 182 3.3 182 184 1.., D. DPC (main memory). D, CPU S, ROM,.,.. D *, (02) 570 4192, jerrypak@kisdi.re.kr 172 . D.. (Digital Signal Processor),

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

Microsoft Word - ASG AT90CAN128 모듈.doc

Microsoft Word - ASG AT90CAN128 모듈.doc ASG AT90128 Project 3 rd Team Author Cho Chang yeon Date 2006-07-31 Contents 1 Introduction... 3 2 Schematic Revision... 4 3 Library... 5 3.1 1: 1 Communication... 5 iprinceps - 2-2006/07/31

More information

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & /

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & / SINUMERIK 840C Service and Uer Manual DATA SAVING & LOADING & & / / NC, RS232C /. NC NC / Computer link () Device ( )/PC / / Print erial Data input RS232C () Data output Data management FLOPPY DRIVE, FLOPPY

More information

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

.  ,.... :...,,....,.. :..,,,..,,...,.... 2 RD-5405 /.. . "" "",.... :...,,....,.. :..,,,..,,...,.... 2 ..,,..,.. (,,,, )......,...,., ( ),,,,.,. (, )..,...... BD/DVD CD TV, VCR,........ (+, -).,,..... 3 ... 2... 3....3... 5... 9... 10...11...11...

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770>

<313630313032C6AFC1FD28B1C7C7F5C1DF292E687770> 양성자가속기연구센터 양성자가속기 개발 및 운영현황 DOI: 10.3938/PhiT.25.001 권혁중 김한성 Development and Operational Status of the Proton Linear Accelerator at the KOMAC Hyeok-Jung KWON and Han-Sung KIM A 100-MeV proton linear accelerator

More information

5" TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공

5 TFT- LCD 및감압터치지원 : 800x480 USB Host 2.0, USB OTG 2.0, GPS, Wireless LAN, Ethernet 10/100Mbps 통신지원 300 만화소 CMOS Image Sensor 고해상도카메라모듈내장 전원은베이스보드에서공 CT210-FPGA Platform-ARM Developer Kit 규격서 1. 특징 CT210 FPGA 플랫폼은 Samsung 의 32bit Application Processor 인 S5PV210 을기반을설계된안드로이드 / 임베디드 FPGA 플랫폼입니다. CT210 FPGA 플랫폼은삼성 PV210 기반의임베디드시스템에서 FPGA 를연결하여사용할수있도록하였습니다.

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

CANTUS Evaluation Board Ap. Note

CANTUS Evaluation Board Ap. Note Preliminary CANTUS - UART - 32bits EISC Microprocessor CANTUS Ver 1. October 8, 29 Advanced Digital Chips Inc. Ver 1. PRELIMINARY CANTUS Application Note( EVM B d ) History 29-1-8 Created Preliminary Specification

More information

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다. Eclipse 개발환경에서 WindowBuilder 를이용한 Java 프로그램개발 이예는 Java 프로그램의기초를이해하고있는사람을대상으로 Embedded Microcomputer 를이용한제어시스템을 PC 에서 Serial 통신으로제어 (Graphical User Interface (GUI) 환경에서 ) 하는프로그램개발예를설명한다. WindowBuilder:

More information

06이동통신

06이동통신 www.mke.go.kr + www.keit.re.kr Part.06 444 449 502 521 529 552 01 444 Korea EvaluationInstitute of Industrial Technology IT R&D www.mke.go.kr www.keit.re.kr Ministry of Knowledge Economy 445 02 446 Korea

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They

DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They DC Link Capacitor DC Link Application DC Link capacitor can be universally used for the assembly of low inductance DC buffer circuits and DC filtering, smoothing. They are Metallized polypropylene (SH-type)

More information

(Table of Contents) 2 (Specifications) 3 ~ 10 (Introduction) 11 (Storage Bins) 11 (Legs) 11 (Important Operating Requirements) 11 (Location Selection)

(Table of Contents) 2 (Specifications) 3 ~ 10 (Introduction) 11 (Storage Bins) 11 (Legs) 11 (Important Operating Requirements) 11 (Location Selection) SERVICE MANUAL (Table of Contents) 2 (Specifications) 3 ~ 10 (Introduction) 11 (Storage Bins) 11 (Legs) 11 (Important Operating Requirements) 11 (Location Selection) 12 (Storage Bins) 12 (Ice Machine)

More information

½½¶óÀ̵å Á¦¸ñ ¾øÀ½

½½¶óÀ̵å Á¦¸ñ ¾øÀ½ 0.2 완전차동 (fully dfferental) OP amp Dfferental nput, Dfferental output Easy to cascade OP amps nsenstve to supply nose Hgh gan Fully dff OP amp requres CMFB Hgh Speed CMOS IAB, POSTECH 0.2. NMOS 입력완전차동

More information

TEL:02)861-1175, FAX:02)861-1176 , REAL-TIME,, ( ) CUSTOMER. CUSTOMER REAL TIME CUSTOMER D/B RF HANDY TEMINAL RF, RF (AP-3020) : LAN-S (N-1000) : LAN (TCP/IP) RF (PPT-2740) : RF (,RF ) : (CL-201)

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은

고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은 CAR AUDIO SYSTEM 3XKRC07 AM100MDDG 사용설명서 ATYPE 고객 카드 현대모비스 제품을 구입해 주셔서 대단히 감사합니다. A/S 마크란? 공업 진흥청이 애프터 서비스가 우수한 업체를 선정, 지정하는 마크로 애프터 서비스 센터 운영관리 등 8개 분야 45개 항목의 까다로운 심사로 결정됩니다. 주의 : 본 제품의 디자인 및 규격은 제품의

More information

X-VA-MT3809G-MT3810G-kor

X-VA-MT3809G-MT3810G-kor www.brooksinstrument.com 기본적인 설명 진행전 반드시 읽어 주십시오. Brooks 는 많은 국내 및 국제 기준을 충족하기 위해 제품을 설계, 생산 및 테스트를 합니다. 이 제품이 제대로 설치, 운영되고 그 들이 정상 사양 내에서 작동하도록 지속적인 유지보수가 필요합니다. Brooks Instrument 제품을 설치, 사용 및 유지보수 시

More information

한글사용설명서

한글사용설명서 ph 2-Point (Probe) ph (Probe) ON/OFF ON ph ph ( BUFFER ) CAL CLEAR 1PT ph SELECT BUFFER ENTER, (Probe) CAL 1PT2PT (identify) SELECT BUFFER ENTER, (Probe), (Probe), ph (7pH)30 2 1 2 ph ph, ph 3, (,, ) ON

More information

untitled

untitled Huvitz Digital Microscope HDS-5800 Dimensions unit : mm Huvitz Digital Microscope HDS-5800 HDS-MC HDS-SS50 HDS-TS50 SUPERIORITY Smart Optical Solutions for You! Huvitz Digital Microscope HDS-5800 Contents

More information

Full Bridge IGBT Gate Drive & Three Phase SCR Drive Board 사양서

Full Bridge IGBT Gate Drive & Three Phase SCR Drive Board 사양서 시방서. 품목 - 해파리탐지용 CW 구동송수신보드제작. 제작품개요 - 해파리음향탐지시스템제작을위한핵심부분인 CW 구동송수신부는초음파음향센서로출력되는 CW 신호발생부, 해파리생물체에맞고반사되는신호를수신하는수신부로구성되는 board 임. - 제작품수 : set. 주요장치의구성 - 0-00 KHz CW 발생부 - Complexed Controller - Bandpass

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 2443BW 2443BWX ii 제품 설명 제품 구성 구성품이 모두 들어 있는지 확인한 후 누락된 제품이 있으면 구입한 대리점으로 연락해 주 세요. 구성품 이외의 별매품을 구입하려면 서비스 센터로 연락하세요. 구성품 선택 사양1 선택 사양2 모니터 & 심플 스탠드 모니터 & 심플 스탠드 심플 스탠드의 경우

More information

intro

intro Contents Introduction Contents Contents / Contents / Contents / Contents / 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57

More information