Contents 1. Introduction What is UML? What are UML Components? 소프트웨어개발방법론 모델 (Model) 클래스다이어그램

Size: px
Start display at page:

Download "Contents 1. Introduction What is UML? What are UML Components? 소프트웨어개발방법론 모델 (Model) 클래스다이어그램"

Transcription

1 UML Report What is UML? How to use UML Tools? On 22 Mar 2013 Team Organization T4 Kim, Sang Yoon Oh, Na Yun Lim, Min Woo / 41

2 Contents 1. Introduction What is UML? What are UML Components? 소프트웨어개발방법론 모델 (Model) 클래스다이어그램 (Class Diagram) What is UML for? History of UML Example of UML(Unified Modeling Language) Use Case What is Use Case? What is Use Case Model? What is Actor? How to find/write Use Case What is Use Case for? Use Case Diagram With StarUML Examples of Use Case Diagram tips for Use case Use Case 만들때, 완벽해하지말고생산적인자세가되어야한다 Actor 를정의한다 Primary Use Case 를정의한다 Use Case 의 Reuse( 재사용 ) 될것들을정의한다 / 41

3 Use Case Index 를만든다 Use Case 의 Key Components 를정의한다 Use Case 의설명을작성한다 Use Case 의기본흐름 (Basic Flow) 을작성한다 Use Case 의대체흐름 (Alternate Flow) 을작성한다 Use Case 문서를작성한다 Use Case Model Diagram 을작성한다 User Story 가필요하다면작성한다 Use Case 를바탕으로구현한다 Conclusion References / 41

4 1. Introduction 1.1. What is UML? - UML(Unified Modeling Language: 통합모델링언어 ) 는소프트웨어공학에서사용되는표준화된범용모델링언어이다. 이표준은 UML을고안한객체관리그룹 (OMG: Object Management Group) 에서관리하고있다. UML은소프트웨어집약시스템의시각적모델을만들기위한도안표기법을포함한다. - UML 은객체지향소프트웨어집약시스템을개발할때산출물을명세화, 시각화, 문서화할 때사용한다. UML 은아래와같은사항을포함하여시스템의구조적청사진을시각화하는 표준안을제공한다. 행위자 (UML) 비즈니스프로세스 ( 논리적 ) 부품 (UML) 행위 (UML) 프로그래밍언어구문 데이터베이스스키마 재사용할수있는소프트웨어부품 - UML은데이터모델링 ( 개체-관계다이어그램 ) 과비즈니스모델링 ( 업무흐름 ), 객체모델링, 부품모델링의최선의기술을조합한다. UML은소프트웨어개발공정뿐만아니라다른구현기술의모든공정에서사용될수있다. UML은 Booch 방법론의객체모델링기법 (OMT) 와객체지향소프트웨어공학 (OOSE) 을광범위하게사용할수있는단일한공통모델링언어로통합한다. UML의목표는동시적분산시스템을모델링하는표준언어다. UML은산업의실질적표준으로서, 객체관리그룹 (OMG) 에의해개선되고있다. 초기에 OMG가엄격한소프트웨어모델링언어를만들기위해객체지향방법론적인통지를요청했고, 많은산업선구자가 UML 표준제작을돕기위해진지하게응답하였다. UML 모델은객체관리그룹이지원하는 QVT와같은변환언어등을이용해다른표현 ( 예를들면자바 ) 으로자동적으로변환된다. UML은확장할수있으며커스터마이제이션을위한메커니즘인프로파일 (UML), 스테레오타입 (UML) 을제공한다. 프로파일을이용한확장의의미는 UML 2.0에서개선되었다. 4 / 41

5 1.2. What are UML Components? 소프트웨어개발방법론 - UML 그자체는개발방법이아니지만그당시주도적이었던객체지향소프트웨어개발방법론 ( 예를들면 Booch 방법론, 객체모델링기법, Objectory) 과잘어울리도록설계되었다. UML 발전해감에따라서 UML의장점을취하기위해몇몇다른방법론 ( 예를들면객체모델링기법 ) 이개선되었다. 또 UML을기반으로한새방법론이만들어지기도했는데 IBM 래셔널통합프로세스 (RUP) 가가장유명하다. 이외에도추상방법론 (Abstraction Method), 동적시스템개발방법론등더특수한해결책이나다른목적을달성하기위해설계된 UML 기반의방법론이많이있다 모델 (Model) - 모델은이학및공학분야에서상당히유용하게쓰이는개념으로서가장일반적인의미로말하면, " 모델은만들다 " 는것은잘모르고있는것을이해하는데도움이될것으로추측되는어떤것을사용한다는뜻이다. 어떤분야에서는이모델의일련의수식 ( 방정식 ) 의집합으로정의되기도하며, 다른분야에서는컴퓨터시뮬레이션을모델로삼기도한다. UML의여러가지그래픽요소는하나의큰그림, 즉다이어그램을그리는데사용된다. UML 은언어이기때문에, 이들그래픽요소들을맞추는데에는규칙이필요하다. 다이어그램의목적은시스템을여러가지시각에서볼수있는뷰 (View) 를제공하는것이며, 이러한뷰의집합을모델 (Model) 이라고한다. 시스템의 UML 모델은건물을짓는건축가의스케일모델과도비슷하다고말할수있다. UML 모델은시스템자체의 목적행동 을설명하는언어이다. UML 모델은시스템의 구현방법을설명하는수단 이아니다 클래스다이어그램 (Class Diagram) - 대부분의사물은자기만의속성과일정한행동수단을지니고있다. 이러한행동을오퍼레이션 (Operation) 의집합으로생각할수있다. UML에서는두단어이상으로이루어진클래스이름은단어사이의공백을없애고, 각단어의처음문자를모두대문자로한다 ( 예 :Wikipedia). 속성과행동의이름또한마찬가지이지만, 가장앞단어의처음문자는소문자로한다 ( 예 :edit()) What is UML for? - UML로디자인함에있어서최우선목표는다음과같다. 사용자에게즉시사용가능하고표현력이강한시각적모델링언어를제공함으로써사용자는의미있는모델들을개발하고서로교환할수있다. 핵심적이개념을확장할수있는확장성과특수화방법을제공한다. 특정 5 / 41

6 개발프로세스와언어에종속되지않는다. 모델링언어를이해하기위한공식적인기초를 제공한다. 객체지향툴시장의성장을장려한다. 콜레버레이션 (Collaboration), 프레임워크 (Framework), 패턴 (Pattern) 과 Component 와같은고수준의개발개념을제공한다 History of UML - UML은그래디부치 (Grady Booch), 제임스럼버 (James Rumbaugh), 이바야콥슨 (Ivar Jacobson) 의머리에서태어났다. 최근 쓰리아미고 (Three Amigos-3인방 ) 이라고불리는이세사람은 80년대전반부터 90년대초반까지객체지향분석설계분야에서각자의영역에서방법론을연구해왔었다. 그들이발표한방법론은동일한분야의다른경쟁자들보다항상탁월한위치에있었으며, 세사람은 90년대중반에이르러각자의아이디어를교환하기시작하였고, 결국각자의방법을하나로모아합치기에이른다. 1994년, 럼버는부치가세운래셔널소프트웨어 (Rational Software Corporation) 에영입되었고, 야콥슨은그로부터 1년후에래셔널사에들어가게된다. 나머지는그들이말하듯이, 역사 (History) 라고말할수있다. UML의초안 (draft) 버전은소프트웨어업계를뒤흔들기시작했고, 그결과로돌아온피드백은바로변경점에반영되었다. UML은우리들의전략에딱맞는다 라고인식해가는회사가늘어남에따라그결과로 UML 컨소시엄도발족하게되었다. UML 컨소시엄의멤버로는디지털 (DEC), 휴렛팩커드 (HP), 인텔리캅 (Intellicorp), 마이크로소프트, 오라클, 텍사스인스트루먼트 (Texas Instruments), 래셔널소프트웨어등이있었다. 1997년 UML 컨소시엄은 UML 버전 1.0을만들어내었고, 오브젝트매니지먼트그룹 (OMG:Object Management Group) 이표준모델링언어의제안서를내라는요구에맞추어이것을제출하였다. UML 컨소시엄은계속발전하였으며, OMG에다시상정된 UML 1.1d은 1997년말에표준모델링언어로채택되었다. OMG는 UML의관리기법을받아들여 1998년에새로운수정안을발표하였다. UML은소프트웨어의업계명실상부한표준이되었으며, 계속수정보안되고있다. 버전 1.3과 1.4 그리고 1.5가나와있고, 최근에는버전 2.0이 OMG에의해승인된상태이다. 이전버전들, 즉버전 1.X는현존하는대부분의모델및 UML 모델링책의기본이되어왔다. 6 / 41

7 1.5. Example of UML(Unified Modeling Language) <Figure1. UML Class Diagram> 7 / 41

8 <Figure2. UML Use Case Diagram> <Figure3. UML Use Case Diagram> 8 / 41

9 <Figure4. UML Syntax Reference Poster> 9 / 41

10 <Figure 5. UML Example> 10 / 41

11 <Figure 6. UML Example>. 11 / 41

12 2. Use Case 2.1. What is Use Case? - Use Case는우리말로쓰임새라고한다. Use-Case는말그대로 ' 쓰이는경우 ' 혹은 ' 용도 ' 같은의미로받아들여도큰무리가없다고보여진다. 즉, 어떤일에쓰느냐하는것을의미한다. 시스템이쓰여지는용도를모아서시스템을만들어낸다면다용도시스템이만들어진다. 이처럼 Use-Case들을모아서시스템으로연결시키는것을개발과정의간단한정의로보아도무리가없을만큼 Use-Case는가치있는것이다. Use-Case 사용자시각에맞춘분석이다. - 어떤시스템을만드느냐를사용자입장에서조망하는것이라고할수있다. Use-Case 시스 템보다는그것을사용하는인간, 즉사용자의입장을우선해서시스템이어떠해야하는가 를알아보는것이다. Use-Case 는시스템의행위를결정하는것이다. - 구체적으로는시스템의기능을정의하고, 범위를결정함으로써시스템과외부환경변수를 구분하고, 상호관계를정립하는것이라고볼수있습니다 What is Use Case Model? - Use-Case 를나타내는 Use-Case 모델 (Model) 은 Use-Case Diagram 으로표현된다. Use-Case 다이어그램은액터 (Actor, 행위자 ), Use-Case, 그리고관계 (Relationship) 로나타난다. 12 / 41

13 - 위의 Use-Case 다이어그램은온라인으로수강신청을하는시스템의일부를나타낸것이다. 위다이어그램에서액터는학생과은행시스템이고, Use-Case는수강신청이다. 화살표는액터와 Use-Case간의관계를나타낸것인데, 위의예제에서는단방향으로의관계만나타내고있다. 위의다이어그램은학생이웹에접속해서수강신청을하면, 그정보가은행시스템에입력이되는과정을나타내고있다. - Use Case Model 의기본구성요소 (1) 액터 시스템과교류하는사람이나사물을말한다. (2) 유스케이스 시스템이액터를위해서수행하는가치있는일을말한다. (3) 유스케이스설명 기본흐름 : 사건흐름에서가장중요한부분으로서유스케이스목적을달성하는정상적인방 법을설명한다. 대안흐름 : 기본흐름에더하여다양하고변칙적인, 그리고예외적인경로들을설명한다. 서브흐름 : 원래흐름중에서따로떼어낸것으로서복잡한사건흐름을읽기쉽게만든다. 이는이름을붙인독자적인미니흐름으로서, 원래흐름자리에는서브흐름의이름을참조 할수있도록삽입하는것으로대신한다. 선조건 : 유스케이스를시작할당시시스템과액터의상태를표현 후조건 : 유스케이스를종료할때시스템상태를표현 2.3. What is Actor? - 액터는시스템의일부가아니다. 액터는시스템과상호작용을하는모든것들을나타낸다. 시스템을사용하게될사람은물론이고, 연관된다른시스템도액터이다. 액터는다이어그램상에서막대인간으로표현된다. 대체로액터의행위는정보의입력과출력으로살펴볼수있다. 정보를입력하거나출력하는액터가있고, 입출력을모두행하는액터가있을것 13 / 41

14 이다. 액터를뽑아내는일은매우중요한일입니다. 모든주요액터를고려해야만모두에게 가치있는시스템이될수있다. 다음과같은질문들이요구사항분석에서액터를뽑아내는 데도움을준다. 특정요구사항에이해관계자는누구인가? 어떠한부서나집단에서시스템을사용하는가? 시스템을사용함으로써이익을얻는이는누구인가? 누가시스템에정보를입력하고사용하고삭제하는가? 누가시스템의유지보수를수행하는가? 시스템이외부자원을사용하는가? 한사람이복수의역할을수행하는가? 여러사람이한가지역할을수행하는가? 시스템이기존시스템 (legacy system) 과상호작용하는가? 2.4. How to find/write Use Case 유스케이스와액터는서로긴밀하게연결되어있다고생각해야한다. 시스템은액터에게가치를 제공하기위하여존재하고, 유스케이스는시스템이그가치를어떻게제공하는지설명한다. (1) 액터의목적을식별하는것부터시작한다. (2) 시스템과사용자의정보요구를고려한다. (3) 같은내용이반복되는현상에신경쓰지않는다 ( 적어도처음에는 ) (4) 유스케이스를 ' 기능 ' 과혼동하지않는다. 14 / 41

15 (5) 가치에초점을맞춘다. (6) 시스템비전에서유스케이스를찾아낸다. (7) 지원유스케이스와운영유스케이스도잊지않는다. (8) 액터와부속명세서와함께유스케이스집합을진화시킨다. 아래는유스케이스를식별할때물어볼수있는질문들을요약한것이다 - 시스템이식별한각액터에게제공해야할목적은무엇인가? - 액터가시스템에게알려야할갑작스러운외부변화가있는가? - 식별한유스케이스들을가지고모든시스템특징을수행할수있는가? - 어떤유스케이스가시스템을시작, 종료, 조정지원, 유지할수있는가? - 시스템에서수정하거나생성할정보는무엇인가? - 시스템이알아야할사건에는어떤것들이있나? - 시스템이추적해서액터에게알려야할사건에는어떤것들이있나? - 유스케이스모델이모든이해당사자의이해를대변하는가? 15 / 41

16 2.5. What is Use Case for? - 유스케이스다이어그램은시스템요구사항을유스케이스용어로설명하는모형이다. 유스케이스다이어그램은다음과같은목적을가진다. 시스템의의도된기능및환경모형으로고객과개발자사이의합의및시스템개발전반에걸쳐줄거리를통합하는데도움을준다. 고객또는최종사용자에게시스템행위를전달한다. 결론적으로이해하기쉬워야한다. 사용자및시스템과교류하는타시스템은액터이다. 그들은시스템사용자를대표하기때문에액터는시스템의범위를정하는데도움을주고예정된일에대한명확한상황을제공한다. 유스케이스는액터의요구를기반으로개발된다. 이것은사용자가기대하는시스템이될수있도록한다 Use Case Diagram With StarUML - Use-Case 다이어그램에서편집할수있는요소들은다음과같다. Actor UseCase Association Derected Association Generalization Dependency Include Extend System Boundary Package - Actor 의미 : 액터 (Actor) 는일반적으로시스템외부에존재하면서시스템과상호작용하 는개체다. 액터는사람이거나기계혹은소프트웨어등이될수있다. 생성방법 : Actor 를생성하려면, Toolbox>UseCase 의 Actor 버튼을클릭하고 Main 윈도우창에서 Actor 가위치할곳을클릭합니다. Actor 는 Stick Man 형태로 16 / 41

17 표현되지만, 사각형모양에오른쪽상단에아이콘이포함된 Decoration View 형태로사용되기도합니다. Actor를 Decoration View 형태로보여지도록하기위해서는 [Format] -> [Stereotype Display] -> [Decoration] 메뉴아이템을선택하거나툴바의버튼에서 [] 콤보버튼의 [Decoration] 항목을선택합니다. Actor 가사용하는 UseCase 를한번에여러개생성하는방법 : (1) Actor 를더블클릭해서퀵다이얼로그가나타나면, 퀵다이얼로그에서 "-()" 문 자열다음에생성하려는 UseCase 의이름을입력합니다. 각 UseCase 이름은 "," 문자로구분해서입력합니다. (2) 그리고 [Enter] 키를누르면 Actor 와연관관계를가지는여러개의 UseCase 가 수직으로자동배열되어생성됩니다. 17 / 41

18 - Use Case Use-Case를생성하는방법 : UseCase를생성하려면, [Toolbox] -> [UseCase] -> [UseCase] 버튼을클릭하고 Main 윈도우창에서 UseCase가위치할곳을클릭합니다. UseCase는 Textual, Decoration, Iconic의 3가지형태로표현가능합니다. [Format] -> [Stereotype Display] 의하부메뉴아이템을선택하거나 [ ] 버튼의아이템을선택하면, UseCase의스타일을변경할수있습니다. Extension 추가하는방법 : 확장점은유스케이스에서확장되어지는하나또는여 러개의위치를참조한다. 18 / 41

19 UseCase 에 ExtensionPoints 를입력하려면 UseCase 의 [Collection Editor...] 팝업 메뉴를클릭하거나 UseCase 의 ExtensionPoints 컬랙션속성의버튼을클릭해서 [Collection Editor] 에서값을수정합니다. UseCase Specification 속성입력방법 : UseCase 작성시많이사용되는속성들인 BasicFlow, AlternativeFlow 등을입력하기위해서는 [Tagged Values...] 팝업메뉴를 선택하거나 Ctrl+F7 버튼을클릭하여 Tagged Value Editor 의 UseCaseSpecification 을선택하여필요한속성의값을입력합니다. 19 / 41

20 UseCase 로부터 Actor 생성하는방법 : 현재선택된 UseCase 와연관관계를가지 는 Actor 여러개를한꺼번에만들려면 UseCase 의단축생성구문을사용합니다. (1) UseCase 를더블클릭하거나 UseCase 를선택하고 [Enter] 키를누른다. Quick Dialog 가나타나면, Quick Dialog 에서 "()-" 문자열다음에연관된 Actor 의이 름을입력합니다. 각 Actor 이름은 "," 문자로구분해서입력합니다. (2) 그리고 [Enter] 키를누르면 UseCase 와연관관계를가지는 Actor 들이생성됩 니다. - Association / Derected Association 의미 : 연관 (Association) 은클래스류 (Class, Interface, Enumeration, Signal, Exception, Component, Node, UseCase, Actor) 사이의의미적관계를정의합니다. 20 / 41

21 Association 생성하는방법 : Association 를생성하려면, [Toolbox] -> [UseCase] -> [Association] 버튼을클릭하고 Main 윈도우창에서연결하려는첫번째요소에서 두번째요소로마우스를누르고드래그하면됩니다. DirectedAssociation 생성하는방법 : Association 생성방법과동일하며, 두요소간 마우스드래그를화살표방향으로합니다. 또는 Association 을생성하고 Actor 쪽 assocation 의끝을클릭하고 Quick Dialog 의 Navigable 의체크를취소하면 DirectedAssociation 으로변합니다. 요소로부터 Association/Directed Associatiopn 관계의요소생성하는방법 : 현재 선택된요소로부터 Assocation/DirectedAssociation 관계를갖는요소를만들려 면요소의단축생성구문을사용합니다. 21 / 41

22 (1) 요소를더블클릭해서 Quick Dialog가나타나면, Quick Dialog에서 "--" 또는 "->" 문자열다음에 Association/DirectedAssociation 관계를갖는다른요소의이름을입력합니다. 여러개의요소와관계를맺기위해서는각요소이름은 "," 문자로구분해서입력합니다. (2) 그리고 [Enter] 키를누르면선택된요소와 Association/DirectedAssociation 연관관계를가지는여러요소들이생성되고자동배열되어생성됩니다. - Generalization 의미 : 일반화 (Generalization)"> 일반화 (Generalization) 는더일반적인요소와더 구체적인요소를연결하는관계입니다. 22 / 41

23 Generalization 생성하는방법 : Procedure for creating generalization Generalization를생성하려면, [Toolbox] -> [UseCase] ->[Generalization] 버튼을클릭하고 Main 윈도우창에서연결하려는자식요소에서부모요소로마우스를누르고드래그하면됩니다. Actor 를상속하는여러개의자식 Actor 생성하는방법 : (1) 특정요소를상속하는하위요소가여러개일경우에 Quick Dialog 의단축 생성구문에서다음과같이입력하면현재요소를상속하는여러개의하위 요소를한꺼번에생성합니다. (2) 하위요소들은선택된요소의아래에생성되면서정렬됩니다. 23 / 41

24 만약상속할상위요소가여러개인경우에는 Quick Dialog 의단축생성구문에 서 "<=" 대신에 "=>" 문자열을사용한다. - Dependency 의미 : 의존관계 (Dependency)"> 의존관계 (Dependency) 는어떤요소의구현이나 기능을위해다른요소의존재가요구되어지는의존적인관계를의미합니다. Dependency 생성방법 : Dependency 를생성하려면, [Toolbox] -> [UseCase] - >[Dependency] 버튼을클릭하고 Main 윈도우창에서요소에서의존하는요소 로마우스를누르고드래그하면됩니다. UseCase 로부터의존하는다른 UseCase 생성하는방법 : 퀵다이어로그의단축생성구문을다음과같이입력하면됩니다. 그러면다음과같이두요소간의 Dependency 가생성됩니다. - Include( 포함 ) 의미 : 포함관계 (Include) 는어떤유스케이스가특정유스케이스의행위를포함한 다는것을정의합니다. 24 / 41

25 Include 생성방법 : Include 를생성하려면, [Toolbox] -> [UseCase] -> [Include] 버 튼을클릭하고 Main 윈도우창에서요소에서포함할요소로마우스를누르고드 래그하면됩니다. UseCase 로부터 Include 관계의다른 UseCase 생성하는방법 : 퀵다이어로그의단축생성구문을다음과같이입력하면됩니다. - Extend 의미 : 확장관계 (Extend)"> 확장관계 (Extend) 는어떤유스케이스가특정유스케이스 에정의된행위로추가확장될수있다는것을나타냅니다. Extend 생성방법 : Extend 를생성하려면, [Toolbox] -> [UseCase] -> [Extend] 버튼 을클릭하고 Main 윈도우창에서요소에서확장할요소로마우스를누르고드래 그하면됩니다. 25 / 41

26 UseCase 로부터 Extend 관계의다른 UseCase 생성하는방법 : 퀵다이어로그의단축생성구문을다음과같이입력하면됩니다. - System Boundary 생성하는방법 : System Boundary를생성하려면, [Toolbox] -> [UseCase] -> [System Boundary] 의 System Boundary 버튼을클릭하고 Main 윈도우창에서 System Boundary가삽입될위치에마우스를클릭하고생성될크기만큼을드래그합니다. 26 / 41

27 - Package 의미 : 패키지 (Package) 는모델요소들을논리적으로그룹화하여관리하기위한 요소입니다. 패키지는요소들을조직화하기위한어떠한용도로사용되어도무 방한매우일반적인요소입니다. 패키지대신모델 (Model), 서브시스템 (Subsystem) 의더욱특수화된요소를사용할수도있습니다. Package 생성하는방법 : Package 를생성하려면, [Toolbox] -> [UseCase] -> [Package] 버튼을클릭하고 Main 윈도우창에서 Package 가위치할곳을클릭합 니다. 27 / 41

28 2.7. Examples of Use Case Diagram <Figure 7. Use Case Diagram> 28 / 41

29 <Figure 8. Use Case Diagram> 29 / 41

30 <Figure 9. Use Case Diagram> 30 / 41

31 <Figure 10. Use Case Diagram> 31 / 41

32 <Figure 11. Use Case Diagram> 32 / 41

33 <Figure 12. Use Case Diagram> 33 / 41

34 tips for Use case Use Case 만들때, 완벽해하지말고생산적인자세가되어야한다. - Be Agile! 실수를두려워하지말고가능한많은, Use Case 작성에주저함이없어야한다. 많은설계자, 혹은제안자들은 Use Case 초기작성단계에서부터너무완벽 (Perfect) 하려고만하는경향이있는데이는 Use Case 작성에비효율적이다. 가능한모든경우를생각하여도안에그려낸후, 실경우에적용하여비교대조한다 Actor 를정의한다. - Actor 의정의는문서상단에정의해두었으며, Use Case 정의에있어가장중요한부분이 다. 해당소프트웨어혹은시스템을누가이용하는지, 어떤 Actor 에의해연산되고프로세 싱되는지명확히정해져야 Use Case 설계에큰효과를줄수있다 Primary Use Case 를정의한다. - Use Case 중가장주요한, 혹은주된액션이되는 Use Case 를정의한다. 이를정의하는것 은 Rainy Day 라고도하며이를정의하여큰도안을확보한다. 34 / 41

35 Use Case 의 Reuse( 재사용 ) 될것들을정의한다. Use Case 는재사용혹은여러번사용되야할경우가많기에이를미리명확히해두어야만 Use Case 작성에큰도움을준다. 35 / 41

36 Use Case Index 를만든다 Use Case 의 Key Components 를정의한다. 36 / 41

37 Use Case 의설명을작성한다. Use Case Number 1 Use Case Name Buyer Places a Bid Description An EBAY buyer has identified an item they wish to buy, so they will place a bid for an item with the intent of winning the auction and paying for the item Use Case 의기본흐름 (Basic Flow) 을작성한다 Use Case 의대체흐름 (Alternate Flow) 을작성한다 Use Case 문서를작성한다 Use Case Model Diagram 을작성한다. 37 / 41

38 User Story 가필요하다면작성한다. - Use Story 란, 간단하게사용자입장에서시스템을이용할때쓰는일종의시나리오 ( 각본 ) 이 다. 도면만보고는사용자가어떤액션 ( 동작 ) 을취해서시스템혹은소프트웨어를이용할지 명확하지않으므로간단한시나리오를작성하는것을의미한다 Use Case 를바탕으로구현한다. 38 / 41

39 3. Conclusion - UML(Unified Modeling Language) 는객체지향시스템혹은소프트웨어제작시반드시필요한도식화모델이자도구이다. 본언어로쉽게도식화를시키려면필요한소프트웨어들이많은데 StarUML을추천하는바이다. 본문서 2. Use Case 부분에 With StarUML 항목이존재한다. - 소프트웨어모델링및분석수업에서처음으로 UML 을사용하여소프트웨어를모델링하게되었는데 UML 에대한전반적인개념과이해는본문서에모두포함시켜놓았다. 나아가실무에반드시적용할수있고학문적인발전에있어서도반드시필요한부분이라생각한다. - 소프트웨어를구현개발하는것도아무리강조해도지나치지않을만큼중요하다. 하지만그이전에앞서소프트웨어나시스템을모델링, 즉설계하는부분에있어서는소프트웨어공학개발방법론에의거하여 UML 을사용하여도식화, 혹은설계하는것에초점을둔이번수업에깊은발전의뜻이있길바란다. 39 / 41

40 4. References - Wikipedia, Unified Modeling Language, - Daum Blog, Objective of UML - Wikipedia, Use Case Diagram - Wikipedia, Use Case - UML Image, Oracle - Wikispace, UML Use Case Diagram - Pacestar, UML Use Case Diagram - Claudiodesio, UML Syntax Reference Poster - Personal tistory Blog, What is Use Case? - SoureceForge, Use Case Diagram Modeling - GatherSpace, Tip13 for Use Case s.jpg - Requirements Re 40 / 41

41 quirements.png x5881.png?w= / 41

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi

소프트웨어공학 Tutorial #2: StarUML Eun Man Choi 소프트웨어공학 Tutorial #2: StarUML Eun Man Choi emchoi@dgu.ac.kr Contents l StarUML 개요 l StarUML 소개및특징 l 주요기능 l StarUML 화면소개 l StarUML 설치 l StarUML 다운 & 설치하기 l 연습 l 사용사례다이어그램그리기 l 클래스다이어그램그리기 l 순서다이어그램그리기 2

More information

UML

UML Introduction to UML Team. 5 2014/03/14 원스타 200611494 김성원 200810047 허태경 200811466 - Index - 1. UML이란? - 3 2. UML Diagram - 4 3. UML 표기법 - 17 4. GRAPPLE에 따른 UML 작성 과정 - 21 5. UML Tool Star UML - 32 6. 참조문헌

More information

슬라이드 1

슬라이드 1 201111339 김민우 201111344 김재엽 201211386 최하나 1 UML 이란 2 UML 특징 3 UML 의구성요소 3.1 UML Building Blocks 구성요소 사물 (Things) 관계 (Relationship) 다이어그램 (Diagram) 4 UML 모델링 Tools : CASE UML(Unified Modeling Language)

More information

< 소프트웨어모델링및분석 > - UML 보고서 조원 : 홍준택 신재용 정재호 김철웅

< 소프트웨어모델링및분석 > - UML 보고서 조원 : 홍준택 신재용 정재호 김철웅 < 소프트웨어모델링및분석 > - UML 보고서 조원 : 200611525 홍준택 200711441 신재용 200711470 정재호 200710115 김철웅 UML 의역사 UML 은 'Unified Modeling Language' 의약자입니다. 무엇을통합했기에 'Unified' 라는말이붙게된것일까요? 먼저, UML 의역사를간단히살펴보면아래그림과같습니다. 객체지향적분석과디자인에대해다양한방면으로실험적인접근을하던방법론자들에의해서다양한객체지향모델링방법이등장하게됩니다.

More information

Microsoft PowerPoint - 1주차 UML의 구성과 도구

Microsoft PowerPoint - 1주차 UML의 구성과 도구 UML의 구성과 도구 v UML(Unified Modeling Language) v UML의 구성 요소 v UML의 관계 v UML의 다이어그램 v UML 도구 UML(Unified Modeling Language) l 모델링 과정(modeling process)과 모델링 언어(modeling language)를 제안 모델링 과정 : 객체지향으로 분석하고 설계하는

More information

uml.hwp

uml.hwp Project Team T3 Date 2013-03-21 Team Information 양승민 200911400 정세진 200911418 한종철 200911429 1 1. Basic concept of UML - UML이란 UML은소프트웨어시스템이나업무모델링그리고기타비소프트웨어시스템등을나타내는가공물을구체화하고, 시각화하고, 구축하고, 문서화하기위해만들어진언어이다.

More information

Convenience Timetable Design

Convenience Timetable Design Convenience Timetable Design Team 4 2 Contents 1. Introduction 2. Decomposition description 3. Dependency description 4. Inter face description 5. Detailed design description 3 1. Introduction Purpose

More information

MVVM 패턴의 이해

MVVM 패턴의 이해 Seo Hero 요약 joshua227.tistory. 2014 년 5 월 13 일 이문서는 WPF 어플리케이션개발에필요한 MVVM 패턴에대한내용을담고있다. 1. Model-View-ViewModel 1.1 기본개념 MVVM 모델은 MVC(Model-View-Contorl) 패턴에서출발했다. MVC 패턴은전체 project 를 model, view 로나누어

More information

소프트웨어개발방법론

소프트웨어개발방법론 사용사례 (Use Case) Objectives 2 소개? (story) vs. 3 UC 와 UP 산출물과의관계 Sample UP Artifact Relationships Domain Model Business Modeling date... Sale 1 1..* Sales... LineItem... quantity Use-Case Model objects,

More information

1. 파일 명명규칙

1. 파일 명명규칙 소프트웨어 공학 UML 과제 [UseCase Diagram] Use Case Diagram [ 목 차 ] 2.1.Use Case Diagram 개요 2.2.Use Case 구성요소 2.3.Relationship 2.4.작성방법 2.5.참고문헌 1. Use Case Diagram 1.1 Use Case 모델링 개요 - Use Case 는 개발자가 아닌 사용자

More information

슬라이드 1

슬라이드 1 2 장 UML 의구성 UML 아키텍처 UML 메커니즘 한빛미디어 ( 주 ) 학습목표 UML 의구성요소를학습한다. UML 의관계를이해한다. 다이어그램의개념을이해한다. 2 UML 의구성요소 UML은기본요소를구성하는 사물 (Things) 사물간의관계를나타내는 관계 (Relationship) 사물과관계를도형으로표현하는 다이어그램 (Diagram) [ 그림 2-1]

More information

UML의 구성과 도구

UML의 구성과 도구 UML 의구성과도구 UML(Unified Modeling Language) UML 의구성요소 UML 의관계 UML 의다이어그램 UML 도구 UML(Unified Modeling Language) 모델링과정 (modeling process) 과모델링언어 (modeling language) 를제안 모델링과정 : 객체지향으로분석하고설계하는프로세스 모델링언어 : 설계를표현할때사용하는그래픽심볼

More information

PowerPoint Presentation

PowerPoint Presentation Object-Oriented Analysis and Design How to use StarUML, Korea 1. StarUML 개요 2 2. 설치하기 다음의 website 에서다운로드받음. http://staruml.sourceforge.net/ko/download.php staruml-5.0-uml-cm.exe 파일을클릭합니다. 로컬디스크에다운받은 staruml-5.0-with-cm.exe

More information

PowerPoint Template

PowerPoint Template JavaScript 회원정보 입력양식만들기 HTML & JavaScript Contents 1. Form 객체 2. 일반적인입력양식 3. 선택입력양식 4. 회원정보입력양식만들기 2 Form 객체 Form 객체 입력양식의틀이되는 태그에접근할수있도록지원 Document 객체의하위에위치 속성들은모두 태그의속성들의정보에관련된것

More information

<4D F736F F F696E74202D205B34C0E55D20BFE4B1B8BBE7C7D720B0B3B9DF20B9D720B0FCB8AE2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D205B34C0E55D20BFE4B1B8BBE7C7D720B0B3B9DF20B9D720B0FCB8AE2E BC8A3C8AF20B8F0B5E55D> 1 4. 요구사항개발및관리 주요내용 요구사항이란무엇인가? 요구사항개발은어떻게진행되는것인가? 유스케이스기반의요구사항분석은무엇인가? 2 목차 강의내용 팀프로젝트 (5 주차 ) - 요구사항개발 - 제안서발표 - 요구사항개발프로세스 - 유스케이스기반의요구사항분석 3 4 요구사항개발 요구사항이란? 정의 - 문제의해결또는목적달성을위하여고객에의해요구되거나, 표준이나명세등을만족하기위하여시스템이가져야하는서비스또는제약사항

More information

Studuino소프트웨어 설치

Studuino소프트웨어 설치 Studuino 프로그래밍환경 Studuino 소프트웨어설치 본자료는 Studuino 프로그래밍환경설치안내서입니다. Studuino 프로그래밍 환경의갱신에따라추가 / 수정될수있습니다. 목차 1. 소개... 1 2. Windows... 2 2.1. 프로그래밍환경설치... 2 2.1.1. 웹설치버전설치방법... 2 2.2. Studuino 프로그래밍환경실행...

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 실습 1 배효철 th1g@nate.com 1 목차 조건문 반복문 System.out 구구단 모양만들기 Up & Down 2 조건문 조건문의종류 If, switch If 문 조건식결과따라중괄호 { 블록을실행할지여부결정할때사용 조건식 true 또는 false값을산출할수있는연산식 boolean 변수 조건식이 true이면블록실행하고 false 이면블록실행하지않음 3

More information

슬라이드 1

슬라이드 1 Tadpole for DB 1. 도구개요 2. 설치및실행 4. 활용예제 1. 도구개요 도구명 소개 Tadpole for DB Tools (sites.google.com/site/tadpolefordb/) 웹기반의데이터베이스를관리하는도구 Database 스키마및데이터관리 라이선스 LGPL (Lesser General Public License) 특징 주요기능

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Software Modeling & Analysis - UML Report T6 200811425 김평석 200811435 신성호 200811449 이찬희 200811454 전인서 200811462 최현빈 Contents History of UML & Rational Unified Process Construction of UML & Diagram Use Case,

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

게임 기획서 표준양식 연구보고서

게임 기획서 표준양식 연구보고서 ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ ᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞᆞ

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

Microsoft PowerPoint _UML

Microsoft PowerPoint _UML GRID 소프트웨어공학 UML 작성자 : 서경석정보처리기술사 2005 년하반기 [ 이원석기술사 (wslee@skcc.com) ] 1 UML(Unified Modeling Language) 1. UML 의개요 1) UML 의정의 - 객체기술에관한국제표준화기구 (OMG:Object Management Group) 에서인정한 객체지향분석, 설계를위한통합모델링언어

More information

MF3010 MF Driver Installation Guide

MF3010 MF Driver Installation Guide 한국어 MF 드라이버설치설명서 사용자소프트웨어 CD-ROM................................................ 1.................................................................... 1..............................................................................

More information

tiawPlot ac 사용방법

tiawPlot ac 사용방법 tiawplot ac 매뉴얼 BORISOFT www.borisoft.co.kr park.ji@borisoft.co.kr HP : 00-370-077 Chapter 프로그램설치. 프로그램설치 3 2 Chapter tiawplot ac 사용하기.tiawPlot ac 소개 2.tiawPlot ac 실행하기 3. 도면파일등록및삭제 4. 출력장치설정 5. 출력옵션설정

More information

Endpoint Protector - Active Directory Deployment Guide

Endpoint Protector - Active Directory Deployment Guide Version 1.0.0.1 Active Directory 배포가이드 I Endpoint Protector Active Directory Deployment Guide 목차 1. 소개...1 2. WMI 필터생성... 2 3. EPP 배포 GPO 생성... 9 4. 각각의 GPO 에해당하는 WMI 연결... 12 5.OU 에 GPO 연결... 14 6. 중요공지사항

More information

제2장객체지향분석과설계

제2장객체지향분석과설계 제 3 주차강의교안 1. 교수목표 : Object-Oriented Analysis and Design(OOAD) 란무엇인가를이해하고클래스생성방법을터득한다. 2. 강의시수 : 이론 - 1시간, 실습 - 2시간, Test - 1시간 3. 수업내용 이론 Object-Oriented Analysis and Design(OOAD) 란 실습 class 생성하기 Test

More information

Microsoft PowerPoint - 06_ClassDiagram(2010).ppt [호환 모드]

Microsoft PowerPoint - 06_ClassDiagram(2010).ppt [호환 모드] LECTURE 6 UML 클래스다이어그램 클래스다이어그램은 UML 의중심 최은만, CSE 4039 소프트웨어공학 목차 UML 이란? UML 의역사 UML 클래스다이어그램 Exercise 클래스다이어그램설계 최은만, CSE 4039 소프트웨어공학 2 UML 분석, 설계를비주얼화, 문서화하기위한그래픽언어 Unified 이전의 OO 방법들의통합 Modeling 객체지향분석설계를위한비주얼모델링

More information

Microsoft Word - [2017SMA][T8]OOPT_Stage_2040 ver2.docx

Microsoft Word - [2017SMA][T8]OOPT_Stage_2040 ver2.docx OOPT Stage 2040 - Design Feesual CPT Tool Project Team T8 Date 2017-05-24 T8 Team Information 201211347 박성근 201211376 임제현 201411270 김태홍 2017 Team 8 1 Table of Contents 1. Activity 2041. Design Real Use

More information

Introduction to UML 소프트웨어모델링 유준범교수님 황정아 김성민 이한빈

Introduction to UML 소프트웨어모델링 유준범교수님 황정아 김성민 이한빈 Introduction to UML 소프트웨어모델링 유준범교수님 201111397 황정아 201111341 김성민 201111379 이한빈 1. Subject : UML ( Unified Modeling Language ) and UML Tools 2. Outline 1. Subject 2. Outline 3. What is UML? A. 정의 B. 배경 C.

More information

Microsoft PowerPoint - e pptx

Microsoft PowerPoint - e pptx Import/Export Data Using VBA Objectives Referencing Excel Cells in VBA Importing Data from Excel to VBA Using VBA to Modify Contents of Cells 새서브프로시저작성하기 프로시저실행하고결과확인하기 VBA 코드이해하기 Referencing Excel Cells

More information

MF Driver Installation Guide

MF Driver Installation Guide Korean MF 드라이버 설치설명서 사용자 소프트웨어 CD-ROM... 드라이버 및 소프트웨어 정보...1 지원되는 운영 체제...1 MF 드라이버 및 MF Toolbox 설치... [쉬운 설치]를 사용한 설치...2 [사용자 정의 설치]를 사용한 설치...10 USB 케이블 연결(USB를 이용해 연결하는 경우만)...20 설치 결과 확인...21 온라인

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

Rose교육.ppt

Rose교육.ppt UML RUP RUP consulting@kicco.com Concept of Object Introduction of UML Introduction of RUP Business Modeling Requirements Analysis & Design Concept of Object Object-Oriented View What is a Object? Object

More information

MF5900 Series MF Driver Installation Guide

MF5900 Series MF Driver Installation Guide 한국어 MF 드라이버설치설명서 사용자소프트웨어 CD-ROM................................................ 1.................................................................... 1..............................................................................

More information

Microsoft PowerPoint - [StarUML]Manual.ppt

Microsoft PowerPoint - [StarUML]Manual.ppt 1 2 3 4 5 6 7 도구개요도구설치방법도구기능소개도구활용예제 FAQ 도구평가용어집 목차 1. 도구개요 2. 도구설치방법 2.1 다운받기 2.2 설치하기 2.3 설치확인하기 4. 도구활용예제 4.1 예제소개 4.2 Use Case Diagram 4.3 Class Diagram 4.4 Sequence Diagram4.5 Collaboration Diagram

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

JVM 메모리구조

JVM 메모리구조 조명이정도면괜찮조! 주제 JVM 메모리구조 설미라자료조사, 자료작성, PPT 작성, 보고서작성. 발표. 조장. 최지성자료조사, 자료작성, PPT 작성, 보고서작성. 발표. 조원 이용열자료조사, 자료작성, PPT 작성, 보고서작성. 이윤경 자료조사, 자료작성, PPT작성, 보고서작성. 이수은 자료조사, 자료작성, PPT작성, 보고서작성. 발표일 2013. 05.

More information

Windows 8에서 BioStar 1 설치하기

Windows 8에서 BioStar 1 설치하기 / 콘텐츠 테이블... PC에 BioStar 1 설치 방법... Microsoft SQL Server 2012 Express 설치하기... Running SQL 2012 Express Studio... DBSetup.exe 설정하기... BioStar 서버와 클라이언트 시작하기... 1 1 2 2 6 7 1/11 BioStar 1, Windows 8 BioStar

More information

API - Notification 메크로를통하여어느특정상황이되었을때 SolidWorks 및보낸경로를통하여알림메시지를보낼수있습니다. 이번기술자료에서는메크로에서이벤트처리기를통하여진행할예정이며, 메크로에서작업을수행하는데유용할것입니다. 알림이벤트핸들러는응용프로그램구현하는데있어

API - Notification 메크로를통하여어느특정상황이되었을때 SolidWorks 및보낸경로를통하여알림메시지를보낼수있습니다. 이번기술자료에서는메크로에서이벤트처리기를통하여진행할예정이며, 메크로에서작업을수행하는데유용할것입니다. 알림이벤트핸들러는응용프로그램구현하는데있어 메크로를통하여어느특정상황이되었을때 SolidWorks 및보낸경로를통하여알림메시지를보낼수있습니다. 이번기술자료에서는메크로에서이벤트처리기를통하여진행할예정이며, 메크로에서작업을수행하는데유용할것입니다. 알림이벤트핸들러는응용프로그램구현하는데있어서가장중요한부분이라고도할수있기때문입니다. 1. 새로운메크로생성 새메크로만들기버튺을클릭하여파일을생성합니다. 2. 메크로저장 -

More information

U.Tu System Application DW Service AGENDA 1. 개요 4. 솔루션 모음 1.1. 제안의 배경 및 목적 4.1. 고객정의 DW구축에 필요한 메타정보 생성 1.2. 제품 개요 4.2. 사전 변경 관리 1.3. 제품 특장점 4.3. 부품화형

U.Tu System Application DW Service AGENDA 1. 개요 4. 솔루션 모음 1.1. 제안의 배경 및 목적 4.1. 고객정의 DW구축에 필요한 메타정보 생성 1.2. 제품 개요 4.2. 사전 변경 관리 1.3. 제품 특장점 4.3. 부품화형 AGENDA 1. 개요 4. 솔루션 모음 1.1. 제안의 배경 및 목적 4.1. 고객정의 DW구축에 필요한 메타정보 생성 1.2. 제품 개요 4.2. 사전 변경 관리 1.3. 제품 특장점 4.3. 부품화형 언어 변환 1.4. 기대 효과 4.4. 프로그램 Restructuring 4.5. 소스 모듈 관리 2. SeeMAGMA 적용 전략 2.1. SeeMAGMA

More information

제8장 자바 GUI 프로그래밍 II

제8장 자바 GUI 프로그래밍 II 제8장 MVC Model 8.1 MVC 모델 (1/7) MVC (Model, View, Controller) 모델 스윙은 MVC 모델에기초를두고있다. MVC란 Xerox의연구소에서 Smalltalk 언어를바탕으로사용자인터페이스를개발하기위한방법 MVC는 3개의구성요소로구성 Model : 응용프로그램의자료를표현하기위한모델 View : 자료를시각적으로 (GUI 방식으로

More information

Ver. DS-2012.T3.DWS.STR-1.0 System Test Report for Digital Watch System Test Cases Specification Test Summary Report Project Team 이동아 Latest update on

Ver. DS-2012.T3.DWS.STR-1.0 System Test Report for Digital Watch System Test Cases Specification Test Summary Report Project Team 이동아 Latest update on System Test Report for Digital Watch System Test Cases Specification Test Summary Report roject Team 이동아 Latest update on: 2012-10-26 Team Information 이동아 : dalee.dslab@gmail.com Dong-Ah Lee 1 Table of

More information

아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상

아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상 Android 용 Brother Image Viewer 설명서 버전 0 KOR 아이콘의 정의 본 사용자 설명서에서는 다음 아이콘을 사용합니다. 참고 참고는 발생할 수 있는 상황에 대처하는 방법을 알려 주거나 다른 기능과 함께 작동하는 방법에 대한 요령을 제공합니다. 상표 Brother 로고는 Brother Industries, Ltd.의 등록 상표입니다. Android는

More information

Ver. 4.0 OOPT Stage 1000 <Plan and Elaboration> Version 4.0 Project Team T7 Team Date Team Information 오세욱 임현유

Ver. 4.0 OOPT Stage 1000 <Plan and Elaboration> Version 4.0 Project Team T7 Team Date Team Information 오세욱 임현유 OOPT Stage 1000 Version 4.0 Project Team T7 Team Date 2017-05-20 Team Information 201414134 오세욱 201414136 임현유 201211375 임동현 201211387 하헌규 CPT T7 Team 1 Table of Contents 1 Activity

More information

PathEye 공식 블로그 다운로드 받으세요!! 지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다.

PathEye 공식 블로그 다운로드 받으세요!!   지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다. PathEye Mobile Ver. 0.71b 2009. 3. 17 By PathEye 공식 블로그 다운로드 받으세요!! http://blog.patheye.com 지속적으로 업그래이드 됩니다. 여러분의 의견을 주시면 개발에 반영하겠 습니다. PathEye 설치 1/3 최종 배포 버전을 다 운로드 받습니다. 다운로드된 파일은 CAB 파일입니다. CAB 파일에는

More information

목차 1. 시스템요구사항 암호및힌트설정 ( 윈도우 ) JetFlash Vault 시작하기 ( 윈도우 ) JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault

목차 1. 시스템요구사항 암호및힌트설정 ( 윈도우 ) JetFlash Vault 시작하기 ( 윈도우 ) JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault 사용자매뉴얼 JetFlash Vault 100 ( 버전 1.0) 1 목차 1. 시스템요구사항... 3 2. 암호및힌트설정 ( 윈도우 )... 3 3. JetFlash Vault 시작하기 ( 윈도우 )... 7 4. JetFlash Vault 옵션 ( 윈도우 )... 9 JetFlash Vault 설정... 9 JetFlash Vault 찾아보기... 10 JetFlash

More information

Spring Boot/JDBC JdbcTemplate/CRUD 예제

Spring Boot/JDBC JdbcTemplate/CRUD 예제 Spring Boot/JDBC JdbcTemplate/CRUD 예제 오라클자바커뮤니티 (ojc.asia, ojcedu.com) Spring Boot, Gradle 과오픈소스인 MariaDB 를이용해서 EMP 테이블을만들고 JdbcTemplate, SimpleJdbcTemplate 을이용하여 CRUD 기능을구현해보자. 마리아 DB 설치는다음 URL 에서확인하자.

More information

슬라이드 1

슬라이드 1 1 도구 개요 2 도구 설치 방법 3 도구 기능 소개 4 도구 활용 예제 5 FAQ 6 도구 평가 7 용어집 목차 1. 도구 개요 2. 도구 설치 방법 2.1 OpenProj 다운로드 2.2 OpenProj 설치하기 4. 도구 활용 예제 4.1 예제 설명 4.2 일정 관리 4.3 인적 자원 관리 4.4 프로젝트 진행 4.5 프로젝트 변경 관리 3.1 OpenProj

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

2 단계 : 추상화 class 오리 { class 청둥오리 extends 오리 { class 물오리 extends 오리 { 청둥오리 mallardduck = new 청둥오리 (); 물오리 redheadduck = new 물오리 (); mallardduck.swim();

2 단계 : 추상화 class 오리 { class 청둥오리 extends 오리 { class 물오리 extends 오리 { 청둥오리 mallardduck = new 청둥오리 (); 물오리 redheadduck = new 물오리 (); mallardduck.swim(); 인터페이스적용 오리객체설계하기 ) 청둥오리, 물오리를설계하세요. 1 단계 : 필요한객체설계 class 청둥오리 { class 물오리 { 청둥오리 mallardduck = new 청둥오리 (); 물오리 redheadduck = new 물오리 (); mallardduck.swim(); mallardduck.fly(); mallardduck.quack(); redheadduck.swim();

More information

SQL Developer Connect to TimesTen 유니원아이앤씨 DB 기술지원팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 작성자

SQL Developer Connect to TimesTen 유니원아이앤씨 DB 기술지원팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 작성자 SQL Developer Connect to TimesTen 유니원아이앤씨 DB 팀 2010 년 07 월 28 일 문서정보 프로젝트명 SQL Developer Connect to TimesTen 서브시스템명 버전 1.0 문서명 작성일 2010-07-28 작성자 김학준 최종수정일 2010-07-28 문서번호 20100728_01_khj 재개정이력 일자내용수정인버전

More information

Microsoft Word - src.doc

Microsoft Word - src.doc IPTV 서비스탐색및콘텐츠가이드 RI 시스템운용매뉴얼 목차 1. 서버설정방법... 5 1.1. 서비스탐색서버설정... 5 1.2. 컨텐츠가이드서버설정... 6 2. 서버운용방법... 7 2.1. 서비스탐색서버운용... 7 2.1.1. 서비스가이드서버실행... 7 2.1.2. 서비스가이드정보확인... 8 2.1.3. 서비스가이드정보추가... 9 2.1.4. 서비스가이드정보삭제...

More information

Microsoft Word - [2017SMA][T8]OOPT_Stage_1000_ docx

Microsoft Word - [2017SMA][T8]OOPT_Stage_1000_ docx OOPT Stage 1000 - Plan & Elaboration Feesual CPT Tool Project Team T8 Date 2017-03-30 T8 Team Information 201211347 박성근 201211376 임제현 201411270 김태홍 2017 Team 8 1 Table of Contents 1 Activity 1001. Define

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

Inclusion Polymorphism과 UML 클래스 다이어그램 구조에 의거한 디자인패턴 해석

Inclusion Polymorphism과 UML 클래스 다이어그램 구조에 의거한 디자인패턴 해석 Inclusion Polymorphism 과 UML 클래스다이어그램구조에의거한디자인패턴해석 이랑혁, 이현우, 고석하 rang2guru@gmail.com, westminstor@naver.com, shkoh@cbnu.ac.kr 충북대학교경영정보학과 충북청주시흥덕구개신동 12 번지충북대학교학연산공동기술연구원 843 호 Tel:043-272-4034 55 Keyword

More information

untitled

untitled 시스템소프트웨어 : 운영체제, 컴파일러, 어셈블러, 링커, 로더, 프로그래밍도구등 소프트웨어 응용소프트웨어 : 워드프로세서, 스프레드쉬트, 그래픽프로그램, 미디어재생기등 1 n ( x + x +... + ) 1 2 x n 00001111 10111111 01000101 11111000 00001111 10111111 01001101 11111000

More information

유니티 변수-함수.key

유니티 변수-함수.key C# 1 or 16 (Binary or Hex) 1:1 C# C# (Java, Python, Go ) (0101010 ). (Variable) : (Value) (Variable) : (Value) ( ) (Variable) : (Value) ( ) ; (Variable) : (Value) ( ) ; = ; (Variable) : (Value) (Variable)

More information

var answer = confirm(" 확인이나취소를누르세요."); // 확인창은사용자의의사를묻는데사용합니다. if(answer == true){ document.write(" 확인을눌렀습니다."); else { document.write(" 취소를눌렀습니다.");

var answer = confirm( 확인이나취소를누르세요.); // 확인창은사용자의의사를묻는데사용합니다. if(answer == true){ document.write( 확인을눌렀습니다.); else { document.write( 취소를눌렀습니다.); 자바스크립트 (JavaScript) - HTML 은사용자에게인터페이스 (interface) 를제공하는언어 - 자바스크립트는서버로데이터를전송하지않고서할수있는데이터처리를수행한다. - 자바스크립트는 HTML 나 JSP 에서작성할수있고 ( 내부스크립트 ), 별도의파일로도작성이가능하다 ( 외 부스크립트 ). - 내부스크립트 - 외부스크립트

More information

JAVA PROGRAMMING 실습 08.다형성

JAVA PROGRAMMING 실습 08.다형성 2015 학년도 2 학기 1. 추상메소드 선언은되어있으나코드구현되어있지않은메소드 abstract 키워드사용 메소드타입, 이름, 매개변수리스트만선언 public abstract String getname(); public abstract void setname(string s); 2. 추상클래스 abstract 키워드로선언한클래스 종류 추상메소드를포함하는클래스

More information

PowerPoint Presentation

PowerPoint Presentation 객체지향프로그래밍 클래스, 객체, 메소드 ( 실습 ) 손시운 ssw5176@kangwon.ac.kr 예제 1. 필드만있는클래스 텔레비젼 2 예제 1. 필드만있는클래스 3 예제 2. 여러개의객체생성하기 4 5 예제 3. 메소드가추가된클래스 public class Television { int channel; // 채널번호 int volume; // 볼륨 boolean

More information

vRealize Automation용 VMware Remote Console - VMware

vRealize Automation용 VMware Remote Console - VMware vrealize Automation 용 VMware Remote Console VMware Remote Console 9.0 이문서는새버전으로교체되기전까지나열된각제품버전및모든이후버전을지원합니다. 이문서에대한최신버전을확인하려면 http://www.vmware.com/kr/support/pubs 를참조하십시오. KO-002230-00 vrealize Automation

More information

Microsoft Word - [2017SMA][T8]OOPT_Stage_1000 ver2.docx

Microsoft Word - [2017SMA][T8]OOPT_Stage_1000 ver2.docx OOPT Stage 1000 - Plan & Elaboration Feesual CPT Tool Project Team T8 Date 2017-04-13 T8 Team Information 201211347 박성근 201211376 임제현 201411270 김태홍 2017 Team 8 1 Table of Contents 1 Activity 1001. Define

More information

Data Sync Manager(DSM) Example Guide Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager

Data Sync Manager(DSM) Example Guide Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager Data Sync Manager (DSM) Example Guide DSM Copyright 2003 Ari System, Inc. All Rights reserved. Data Sync Manager are trademarks or registered trademarks of Ari System, Inc. 1 Table of Contents Chapter1

More information

쉽게 풀어쓴 C 프로그래밍

쉽게 풀어쓴 C 프로그래밍 제 5 장생성자와접근제어 1. 객체지향기법을이해한다. 2. 클래스를작성할수있다. 3. 클래스에서객체를생성할수있다. 4. 생성자를이용하여객체를초기화할수 있다. 5. 접근자와설정자를사용할수있다. 이번장에서만들어볼프로그램 생성자 생성자 (constructor) 는초기화를담당하는함수 생성자가필요한이유 #include using namespace

More information

View Licenses and Services (customer)

View Licenses and Services (customer) 빠른 빠른 시작: 시작: 라이선스, 라이선스, 서비스 서비스 및 주문 주문 이력 이력 보기 보기 고객 가이드 Microsoft 비즈니스 센터의 라이선스, 서비스 및 혜택 섹션을 통해 라이선스, 온라인 서비스, 구매 기록 (주문 기록)을 볼 수 있습니다. 시작하려면, 비즈니스 센터에 로그인하여 상단 메뉴에서 재고를 선택한 후 내 재고 관리를 선택하십시오. 목차

More information

PowerPoint Presentation

PowerPoint Presentation Package Class 3 Heeseung Jo 목차 section 1 패키지개요와패키지의사용 section 2 java.lang 패키지의개요 section 3 Object 클래스 section 4 포장 (Wrapper) 클래스 section 5 문자열의개요 section 6 String 클래스 section 7 StringBuffer 클래스 section

More information

NTD36HD Manual

NTD36HD Manual Upnp 사용 D7 은 UPNP 를지원하여 D7 의네크워크에연결된 UPNP 기기에별다른설정없이연결하여, 유무선으로네트워크상의연결된 UPNP 기기의콘텐츠를재생할수있습니다. TV 화면의 브라우저, UPNP 를선택하면연결가능한 UPNP 기기가표시됩니다. 주의 - UPNP 기능사용시연결된 UPNP 기기의성능에따라서재생되지않는콘텐츠가있을수있습니다. NFS 사용 D7

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

Index Chapter Page 1. What about OOAD 1) Definition & History 3 2) Terms 4 3) Modeling 8 4) OOA & OOD 11 5) Summary of OOAD What about UM

Index Chapter Page 1. What about OOAD 1) Definition & History 3 2) Terms 4 3) Modeling 8 4) OOA & OOD 11 5) Summary of OOAD What about UM - 1 - Software Engineering Team9 Introduction to OOAD using UML tools 200911385 박기남 200911425 조서경 200911426 조성완 200911427 조아라 - 2-0. Index Chapter Page 1. What about OOAD 1) Definition & History 3 2) Terms

More information

Microsoft PowerPoint - chap01-C언어개요.pptx

Microsoft PowerPoint - chap01-C언어개요.pptx #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 프로그래밍의 기본 개념을

More information

Microsoft PowerPoint UI-Event.Notification(1.5h).pptx

Microsoft PowerPoint UI-Event.Notification(1.5h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 UI 이벤트 Event listener Touch mode Focus handling Notification Basic toast notification Customized toast notification Status bar notification 2 사용자가인터랙션하는특정 View

More information

A NEW CSE ATM OOPT Stage 1000 Project Team T3 Date Team Information 이원오 이재규

A NEW CSE ATM OOPT Stage 1000 Project Team T3 Date Team Information 이원오 이재규 A NEW CSE ATM OOPT Stage 1000 Project Team T3 Date 2018-04-17 ----------------------------------- Team Information 201311299 이원오 201311301 이재규 201311309 전홍준 INDEX 1. Activity 1001. Define Draft Plan 2.

More information

intro

intro Contents Introduction Contents Contents / Contents / Contents / Contents / 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57

More information

윈도우시스템프로그래밍

윈도우시스템프로그래밍 데이터베이스및설계 MySQL 을위한 MFC 를사용한 ODBC 프로그래밍 2012.05.10. 오병우 컴퓨터공학과금오공과대학교 http://www.apmsetup.com 또는 http://www.mysql.com APM Setup 설치발표자료참조 Department of Computer Engineering 2 DB 에속한테이블보기 show tables; 에러발생

More information

A NEW CSE ATM OOPT Stage 1000 Project Team T3 Date Team Information 이원오 이재규

A NEW CSE ATM OOPT Stage 1000 Project Team T3 Date Team Information 이원오 이재규 A NEW CSE ATM OOPT Stage 1000 Project Team T3 Date 2017-04-17 ----------------------------------- Team Information 201311299 이원오 201311301 이재규 201311309 전홍준 INDEX 1. Activity 1001. Define Draft Plan 2.

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

1

1 2/33 3/33 4/33 5/33 6/33 7/33 8/33 9/33 10/33 11/33 12/33 13/33 14/33 15/33 16/33 17/33 5) 입력을 다 했으면 확인 버튼을 클릭합니다. 6) 시작 페이지가 제대로 설정이 되었는지 살펴볼까요. 익스플로러를 종료하고 다시 실행시켜 보세요. 시작화면에 야후! 코리아 화면이 뜬다면 설정 완료..^^

More information

제안발표Template

제안발표Template 요구사항기반통합 System Engineering 도구 RM+ 활용가이드 Version 1.0 2014. 07. 목차 Ⅰ. RM+ 설치및실행 Ⅱ. RM+ 기본기능 Ⅲ. RM+ Tutorial 개요 Ⅳ. 요구사항정의및분석 V. System Design VI. Requirement V&V VII. Word/Excel Import Ⅰ. RM+ 설치및실행 1. Server

More information

Ver 1.0 마감하루전 Category Partitioning Testing Tool Project Team T1 Date Team Information 김강욱 김진욱 김동권

Ver 1.0 마감하루전 Category Partitioning Testing Tool Project Team T1 Date Team Information 김강욱 김진욱 김동권 마감하루전 Category Partitioning Testing Tool Project Team T1 Date 2017-05-12 Team Information 201111334 김강욱 201211339 김진욱 201312243 김동권 201510411 이소영 [ 마감하루전 ] T1 1 INDEX Activity 2041. Design Real Use Cases

More information

PowerPoint Presentation

PowerPoint Presentation Class - Property Jo, Heeseung 목차 section 1 클래스의일반구조 section 2 클래스선언 section 3 객체의생성 section 4 멤버변수 4-1 객체변수 4-2 클래스변수 4-3 종단 (final) 변수 4-4 멤버변수접근방법 section 5 멤버변수접근한정자 5-1 public 5-2 private 5-3 한정자없음

More information

Design Issues

Design Issues 11 COMPUTER PROGRAMMING INHERIATANCE CONTENTS OVERVIEW OF INHERITANCE INHERITANCE OF MEMBER VARIABLE RESERVED WORD SUPER METHOD INHERITANCE and OVERRIDING INHERITANCE and CONSTRUCTOR 2 Overview of Inheritance

More information

MySQL-.. 1

MySQL-.. 1 MySQL- 기초 1 Jinseog Kim Dongguk University jinseog.kim@gmail.com 2017-08-25 Jinseog Kim Dongguk University jinseog.kim@gmail.com MySQL-기초 1 2017-08-25 1 / 18 SQL의 기초 SQL은 아래의 용도로 구성됨 데이터정의 언어(Data definition

More information

부록 a - UML a.1 UML (Unified Modeling Language) 계획 (planning)-분석(analysis)- 설계 (design)-구현(implement)- 테스트 (test)-유지보수 (maintenance) 로시스템개발절차가수행되는시스템개발

부록 a - UML a.1 UML (Unified Modeling Language) 계획 (planning)-분석(analysis)- 설계 (design)-구현(implement)- 테스트 (test)-유지보수 (maintenance) 로시스템개발절차가수행되는시스템개발 부록 a UML with StarUML 부록 a - UML a.1 UML (Unified Modeling Language) 계획 (planning)-분석(analysis)- 설계 (design)-구현(implement)- 테스트 (test)-유지보수 (maintenance) 로시스템개발절차가수행되는시스템개발생명주기 (SDLC, System Development

More information

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다. Eclipse 개발환경에서 WindowBuilder 를이용한 Java 프로그램개발 이예는 Java 프로그램의기초를이해하고있는사람을대상으로 Embedded Microcomputer 를이용한제어시스템을 PC 에서 Serial 통신으로제어 (Graphical User Interface (GUI) 환경에서 ) 하는프로그램개발예를설명한다. WindowBuilder:

More information

소프트웨어공학개론 강의 7: 시퀀스다이어그램 최은만동국대학교컴퓨터공학과

소프트웨어공학개론 강의 7: 시퀀스다이어그램 최은만동국대학교컴퓨터공학과 소프트웨어공학개론 강의 7: 시퀀스다이어그램 최은만동국대학교컴퓨터공학과 UML 시퀀스다이어그램 l 시퀀스다이어그램 (Sequence Diagram) l 사용사례가어떻게수행되는지어떤메시지가언제보내지는지나타낸그림 l 시스템의동적인측면을캡처한것 l 동적뷰 (dynamic view) l 시간의흐름에따라정리해놓은것 l 페이지내려갈수록시간이흐름 l 객체는왼쪽에서오른쪽으로나열

More information

VPN.hwp

VPN.hwp Linksys VPN Router RV042&RV082 VPN Router 용 VPN 터널설정 한국어사용자설명서 V1.0 Table of Content 1 Gateway to Gateway 설정... 1 STEP 1 - Gateway to Gateway 터널생성하기... 1 STEP 2 - 터널정보입력하기... 1 STEP 3 - Gateway to Gateway

More information

DBMS & SQL Server Installation Database Laboratory

DBMS & SQL Server Installation Database Laboratory DBMS & 조교 _ 최윤영 } 데이터베이스연구실 (1314 호 ) } 문의사항은 cyy@hallym.ac.kr } 과제제출은 dbcyy1@gmail.com } 수업공지사항및자료는모두홈페이지에서확인 } dblab.hallym.ac.kr } 홈페이지 ID: 학번 } 홈페이지 PW:s123 2 차례 } } 설치전점검사항 } 설치단계별설명 3 Hallym Univ.

More information

Microsoft PowerPoint _04

Microsoft PowerPoint _04 Module 1-1. 신개념 PM SPEAKER: 고형석정보관리기술사정보시스템감리사 KT 차장 light211@paran.com 1 > 프로젝트관리 ( 신개념 PM) Ⅰ. 소프트웨어개발 프로세스이해 1. 소프트웨어개발방법론 2. 전통적개발방법론 3. 객체지향프레임웍방법론 Ⅱ. 프로젝트준비실무 1. 프로젝트준비개괄 2. 정보요청단계 3. 제안요청단계

More information

THE TITLE

THE TITLE Android System & Launcher Team 8 목차 Android 1) Android Feature 2) Android Architecture 3) Android 개발방법 4) Android Booting Process Dalvik 1) Dalvik VM 2) Dalvik VM Instance Application 1) Application Package

More information

2Q SWG Teleweb Business Plan & 1Q Recovery Plan April 2, 2003

2Q SWG Teleweb Business Plan  & 1Q Recovery Plan     April 2, 2003 WBI Modeler V5.1.1 Rational Rose XDE WSAD-IE IBM on-demand Service Oriented Architecture RUP Full-life cycle Business-driven, Process-based LOB IT Seamless Service Modeling (Service, Component, Process

More information

[ 그림 8-1] XML 을이용한옵션메뉴설정방법 <menu> <item 항목ID" android:title=" 항목제목 "/> </menu> public boolean oncreateoptionsmenu(menu menu) { getme

[ 그림 8-1] XML 을이용한옵션메뉴설정방법 <menu> <item 항목ID android:title= 항목제목 /> </menu> public boolean oncreateoptionsmenu(menu menu) { getme 8 차시메뉴와대화상자 1 학습목표 안드로이드에서메뉴를작성하고사용하는방법을배운다. 안드로이드에서대화상자를만들고사용하는방법을배운다. 2 확인해볼까? 3 메뉴 1) 학습하기 [ 그림 8-1] XML 을이용한옵션메뉴설정방법 public boolean

More information

SBR-100S User Manual

SBR-100S User Manual ( 1 / 13 ) SBR-100S 모델에 대한 사용자 펌웨어 업그레이드 방법을 안내해 드립니다. SBR-100S 는 신규 펌웨어가 있을시 FOTA(자동업데이트) 기능을 통하여 자동 업그레이드가 되며, 필요시 사용자가 신규 펌웨어를 다운받아 수동으로 업그레이드 할 수 있습니다. 1. 준비하기 1.1 연결 장치 준비 펌웨어 업그레이드를 위해서는 SBR-100S

More information

JDK이클립스

JDK이클립스 JDK 와이클립스설치 A. JDK 다운로드, 설치및환경설정 지금부터 JDK를다운로드받아설치하고 JDK를윈도우에서활용할수있도록환경을설정하는전과정을소개한다. 다운로드 www.oracle.com 사이트에접속하여 Downloads 메뉴를선택한후 [ 그림 1] 과같이 "Java for Developers" 를클릭한다. [ 그림 1] www.oracle.com 사이트

More information

03.Agile.key

03.Agile.key CSE4006 Software Engineering Agile Development Scott Uk-Jin Lee Division of Computer Science, College of Computing Hanyang University ERICA Campus 1 st Semester 2018 Background of Agile SW Development

More information

¿À¸®ÄÞ40

¿À¸®ÄÞ40 BRAND REPORT 0 1 2008.3 NO.40 CONTENTS 0 2 BRAND REPORT BRAND REPORT 0 3 (%) 20 15 10 5 0 9.3 20.1 0 4 BRAND REPORT 30 25 0.3% 2.5% 10% 5% 20 15 10 5 0 BRAND REPORT 0 5 0 6 BRAND REPORT BRAND REPORT 0

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각

JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 (   ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각 JAVA 프로그래밍실습 실습 1) 실습목표 - 메소드개념이해하기 - 매개변수이해하기 - 새메소드만들기 - Math 클래스의기존메소드이용하기 ( http://java.sun.com/javase/6/docs/api ) 문제 - 직사각형모양의땅이있다. 이땅의둘레, 면적과대각선의길이를계산하는메소드들을작성하라. 직사각형의가로와세로의길이는주어진다. 대각선의길이는 Math클래스의적절한메소드를이용하여구하라.

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

Something that can be seen, touched or otherwise sensed

Something that can be seen, touched or otherwise sensed Something that can be seen, touched or otherwise sensed Things about an object Weight Height Material Things an object does Pen writes Book stores words Water have Fresh water Rivers Oceans have

More information