Product Manual

Size: px
Start display at page:

Download "Product Manual"

Transcription

1 Product Manual RASPGA EVM (Xilinx FPGA XC6LX16-CFG324 - EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM607-1, Digital Empire, #685,Gasan-dong, 서울특별시금천구가산동 568번지 Geumcheon-gu Seoul, Korea. (Zip: ) 디지털엠파이어 607-1호 ( 우 : ) Phone: (02) 전화 : (02) Fax: (02) 팩스 : (02) naaman@paran.com naaman@paran.com elogics All rights reserved

2 RASPGA Board Manual Version Description Date Who 1.0 Initial Create Elogics 2

3 목차 1. 제품설명 제품사양 제품구성 보드사진및구성도 블록별부품특징 RASPGA 보드회로설명 마이크로브레이즈와피코브레이즈특징 콘넥터설명 ISE PROM FILE(*.MCS) 만들기 EXAMPLE PROJECT

4 1. 제품설명 RASPGA Shield 보드는 Xilinx사의 Spartarn-6 시리즈중 XC6SLX16-FG324 패키지로제작된 FPGA EVM보드입니다. 보드내에 128Mbyte DDR2(16Bit) 메모리, LVDS 200Mhz OSC, 4Bbit LED, 4Bit DIP Switch등이내장되어있다. 또한사용자가포트를확장할수있도록 60핀 I/O포트 2개, 라즈베리파이-B/2 호환확장콘넥터가연결할수있도록 40핀콘넥터가있습니다. 동작전원은 5V 전원으로동작하며, 동작상태를표시하기위한 Status LED등이있습니다. 소프트웨어적으로본제품은 Xilinx 사에서제공되는 ISE Tool을사용하며, H/W개발언어인 VHDL, Verilog를습득및여러가지 IP(UART, HDMI, DSP Block) 등을실습할수있습니다. 보다나은설계방법으로서 EDK, 어셈블리어 (KSPSM6.EXE)Tool 를가지고 FPGA내부에 32Bit Microbraze, 8Bit Picobraze를내장하는방법과예제프로그램을테스트할수있다. 단지교육용뿐만아니라다양한용도로응용할수있도록확장 I/O 포트가내장되어있습니다. 2. 제품사양 2.1. 하드웨어사양 FPGA : Xilinx XC6SLX16-CSG324 DDR2-16Bit 128Mbyte FPGA Configuration EEPROM(SPI PROM) DC Power 5V 입력 ( 역전압, 과전압 ) 보호회로 4 bit dip switch 4 bit LED, 전원표시 LED 업보드확장콘넥터 (2x20xx2.54MM) 라즈베리파이연결콘넥터 (2x20x2.54) 보드사이즈 : 70mm x 54mm 3.3V LVDS 200Mhz, 50Mhz OSC 전원콘넥터 2.2. 소프트웨어사양및 Example ISE 12.4, EDK ( 예제코드 ), ISE 11.5 이상지원됨 제공소스 : 마이크로브레이즈예제기본 Serial Uart Source 코드 Picobraze Example HDMI OUT (UP BOARD) 2.3. 전기적사양 5V 2A DC 아답터 1.2V FPGA CORE 전원 1.8V DDR2 메모리전원 3.3V, 1.8V 선택 I/O 전원 4

5 3. 제품구성 구분 수량 비고 RASPGA 보드, 라즈베리콘넥터 1 판매 제품설명서 1 이로직스 회로도 PDF 1 Webhard 제공소스 - 1 Webhard 5

6 4. 보드사진및구성도 4.1. 라즈베리파이와연결사진 라즈베리파이와 RASPGA 보드 6

7 4.2. RASPGA TOP 사진 4.3. RASPGA 보드 BOTTOM 사진 7

8 4.4. BLOCK DIAGARAM EXPADN CONNECTOR SWITCH XC6SLX16CG V 1.8V DDR2 1.2V P8 P9 RASPGA EVM BOARD 6 JTAG CON 1 DC 5. 블록별부품특징 5.1. FPGA : XC6SLX XILINX 공정으로제조된최신칩 옵션에따라서 XC6LX16CG324 CSG324패키지 0.8 BALL 피치 Block RAM,PLL,DSP Slice Block 1.8V,3.3V I/O Port( 선택적 ) 5.2. DDR2 : K4B1G1646G 1GBIT 16BIT SDRAM 16Bit DDR2 인터페이스 333Mhz 동작 96FGGA PIN 5.3. 시스템전원 5V DC 입력 CORE 전원 : SC186-4A -1.2V I/O 전원 : SC183-2A 1.8V SC183-2A - 3.3V 5.4. 보드동작클럭 3.3V 200MHZ MEMS LVDS OSC(7.0x5.0mm) 3.3V OSC (3.2 x 2.0mm) 8

9 2 0.1uF 2 0.1uF 2 0.1uF 2 0.1uF uF CT uF/16V RASPGA-EVM Manual V. 1.0 [ ] 6. RASPGA 보드회로설명 6.1. Main FPGA : Xilinx Spartan XC6SLX-CSG324( 옵션에따라부품이달라짐 ) EVM 보드의전체적인제어를담당함 SPI 통신 동작상태 LED 제어 확장 I/O PORT 제어 6.2. FPGA DDR2회로도 FPGA내 BANK35핀과연결 1.8V I/O 전원 MIG(Memory IP Generator) 통해서 IP 생성 VREF 0.9V 전원 VCC1.8V A1 E1 J9 M9 R1 J1 A9 C1 C3 C7 C9 E9 G1 G3 G7 G9 DDR_A0 M8 DDR_A1 M3 DDR_A2 M7 DDR_A3 N2 DDR_A4 N8 DDR_A5 N3 DDR_A6 N7 DDR_A7 P2 DDR_A8 P8 DDR_A9 P3 DDR_A10 M2 DDR_A11 P7 DDR_A12 R2 DDR_A13 R8 CLK_DDRA J8 CLK_DDRA# K8 DDR_CKE K2 DDR_CS L8 DDR_RAS K7 DDR_CAS L7 DDR_WE K3 DDR_DQM0 F3 DDR_DQM1 B3 DDR_BA0 L2 DDR_BA1 L3 DDR_BA2 L1 VTT_REF1 J2 U3 A2E2 NC1 NC2 G8 VDDL DQ0 G2 VDD1 DQ1 H7 VDD2 DQ2 H3 VDD3 DQ3 H1 VDD4 DQ4 H9 VDDL DQ5 F1 VDDQ1 DQ6 F9 VDDQ2 DQ7 C8 VDDQ3 DQ8 C2 VDDQ4 DQ9 D7 VDDQ5 DQ10 D3 VDDQ6 DQ11 D1 VDDQ7 DQ12 D9 VDDQ8 DQ13 B1 VDDQ9 DQ14 B9 VDDQ10 DQ15 A8 A0 UDQS# A1 UDQS B7 E8 A2 LDQS# A3 LDQS F7 A4 A5 A6 R3 A7 RFU2 R7 A8 RFU3 A9 A10 K9 A11 ODT A12 A3 A13/RFU4 VSS1 E3 VSS2 J3 CK VSS3 N1 CK# VSS4 P9 CKE VSS5 A7 CS# VSSQ1 B2 RAS# VSSQ2 B8 CAS# VSSQ3 D2 WE# VSSQ4 D8 VSSQ5 E7 LDM VSSQ6 F2 UDM VSSQ7 F8 VSSQ8 H2 BA0 VSSQ9 H8 BA1 VSSQ10 J7 BA2 VSSDL VREF K4T1G164QQ-HC(L)E6-667 DDR_DB0 DDR_DB1 DDR_DB2 DDR_DB3 DDR_DB4 DDR_DB5 DDR_DB6 DDR_DB7 DDR_DB8 DDR_DB9 DDR_DB10 DDR_DB11 DDR_DB12 DDR_DB13 DDR_DB14 DDR_DB15 DDR_DQS1_M DDR_DQS1_P DDR_DQS0_M DDR_DQS0_P DDR_OPT DDR_A4 1 R VTT_DDR DDR_A3 1 R DDR_A2 1 R DDR_A5 1 R DDR_A1 1 R C89 0.1uF DDR_A6 1 R DDR_A0 1 R C90 0.1uF DDR_A7 1 R DDR_A8 1 R C91 0.1uF DDR_A9 1 R DDR_A10 1 R C83 0.1uF DDR_A11 1 R DDR_A12 1 R C84 0.1uF DDR_A13 1 R DDR_BA1 1 R DDR_BA0 1 R DDR_BA2 1 R VTT_DDR DDR_WE 1 R C88 0.1uF DDR_RAS 1 R DDR_CAS 1 R C85 0.1uF C86 0.1uF C87 0.1uF VCC1.8V org C9 C10 C8 C11 C12 + X7R X7R X7R X7R X7R 6.3. UP 보드확장콘넥터회로도 (1.8V,3.3V I/O, Default 3.3V) DIFF SIGNAL VCC5 VCC5 DIFF SIGNAL IO_L31N 10 9 IO_L29N 4 4 IO_L31P IO_L29P 4 4 IO_L30N IO_L33N 4 4 IO_L30P IO_L33P 4 4 IO_L35N IO_L1N 4 4 IO_L35P IO_L1P 4 4 IO_L38N IO_L32N 4 4 IO_L38P IO_L32P 4 4 IO_L37N IO_L36N 4 4 IO_L37P IO_L36P IO_L34N IO_L43N 4 4 IO_L34P IO_L43P 4 4 IO_L44N IO_L39N 4 4 IO_L44P IO_L39P 4 4 IO_L45N IO_L41N 4 4 IO_L45P IO_L41P 4 4 IO_L61N IO_L40N 4 4 IO_L61P IO_L40P 4 4 IO_L46N IO_L42N 4 4 IO_L46P IO_L42P IO_L47N IO_L53N 4 4 IO_L47P IO_L53P 4 4 IO_L50N IO_L48N 4 4 IO_L50P IO_L48P 4 4 IO_L74N IO_L74P 4 CN3 CD_ P SD-60P DGND DGND 9

10 6.4. LEFT 확장콘넥터회로도 (3.3V I/O,Default 3.3V) VDD_3V3 VCC P VDD_3V3 4 IO_DIFF0_N11 IO_DIFF0_P IO_DIFF0_N33 IO_DIFF0_P IO_DIFF0_N34 IO_DIFF0_P IO_DIFF0_N35 IO_DIFF0_P IO_DIFF0_N36 IO_DIFF0_P IO_DIFF0_N37 IO_DIFF0_P IO_DIFF0_N38 IO_DIFF0_P IO_DIFF0_N39 IO_DIFF0_P IO_DIFF0_N41 IO_DIFF0_P IO_DIFF0_N50 IO_DIFF0_P IO_DIFF0_N62 IO_DIFF0_P IO_DIFF0_N63 IO_DIFF0_P IO_DIFF0_N64 IO_DIFF0_P IO_DIFF0_N65 IO_DIFF0_P IO_DIFF0_N66 IO_DIFF0_P66 4 DGND HEADER 20x2/M DGND 6.5. RIGHT 확장라즈베리콘넥터 3.3V 3V3 1 2 SDA GPIO_ IO_B2_P14 SCL GPIO_ IO_B2_P15 GPIO_GCLK GPIO_ IO_B2_N15 GPIO_14 IO_B2_N GPIO_15 IO_B2_P16 5 GPIO_GEN0 GPIO_ IO_L32P_R8 GPIO_18 IO_B2_N16 5 GPIO_GEN2 GPIO_ IO_L46N_R7 GPIO_GEN3 GPIO_ IO_L47P_N6 GPIO_23 IO_L32P_T GPIO_24 IO_L32N_V9 5 SPI_MOSI 5 IO_L47N_P6 GPIO_ SPI_MISO 5 IO_L48P_R5 GPIO_ GPIO_25 IO_L40P_M8 5 SPI_SCLK 5 IO_L48N_T5 GPIO_ GPIO_8 IO_L40N_N GPIO_7 IO_L41P_U IO_L49P_U5 ID_SD 27 ID_SC IO_L41N_V IO_L49N_V5 GPIO_ IO_L62P_R3 GPIO_6 GPIO_12 IO_L43N_V IO_L62N_T3 GPIO_ IO_L63P_T4 GPIO_19 GPIO_16 IO_L44P_N7 5 5 IO_L63N_V4 GPIO_ GPIO_20 IO_L44N_P GPIO_21 IO_L45P_T6 5 P4 VCC5 TXD0 RXD0 GPIO_GEN1 GPIO_GEN4 GPIO_GEN5 GPIO_GEN6 SPI_CE0_N SPI_CE1_N DGND HEADER 20x2/M DGND RASPBERRY PI 2 PIN MAP 6.6. System Clock generation 회로도 3.3V 50Mhz OSC 2.5V MEMS OSC (200)MHZ LVDS OSC 기본장착 (clock+, clock-) 로직설계시선택하여사용한다. VDD_3V3 CLK_200- CLK_ VCC 4 OUT_B OUT Y1 EG2121CA 200Mhz OE 1 NC 2 GND 3 DIFF ROUTING C22 0.1uF VDD_3V3 OSC_CLK 4 3 Y Mhz VCC NC 1 CLKGND 2 10

11 10K 10K R22 R RASPGA-EVM Manual V. 1.0 [ ] 6.7. Reset 회로 Positive Level reset 입력 ( L: Reset, H: Normal) VDD_3V3 4 U10 +5V /RSTN 2 R PWR_nRST PWR_nRST 5 5 FPGA_DONE R C81 0.1uF S2 3 /MR GND 1 MAX811 SW PUSHBUTTON DGND 6.8. 전원회로 (5V 입력 ) 생략 1.2V FPGA CORE 공급회로 : 1.2V 4A 전원공급 3.3V I/O 공급회로 : 3.3V I/O 전원공급 과전압, 과전류보호회로내장 6.9. Configuration Serial SPI Prom 회로 ST 사의 W25P64, Serial Prom 을사용했다. VDD_3V3 VDD_3V3 VDD_3V3 VDD_3V3 SPI_CS_B FPGA_D0 R25 10K U4 CE# VCC 7 SO HOLD# R24 FPGA_CCLK 10K 8 WP# SCK 5 FPGA_MOSI_CSI_B_MISO VSS SI MP25P16/N25Q JTAG 회로도 VCC GND TCK TDO TDI TMS VDD_3V3 CN CON6 C_TCK C_TDO C_TDI C_TMS 핀번호는위사진에서하단부터 VCC, GND, TCK, TDO, TDI, TMS 11

12 7. Microbraze 와 Picobraze 특징 7.1. MicroBraze Bus 구조 32Bit AXI BUS Local Memory, Microbraze Debug Module(MDM) Tightly Coupled IO Module including(i/o BUS, Interrupt Controller, UART, Timer 등 ) 개발Tool EDK, SDK, ISE 7.2. Picobraze Bus 구조 8Bit BUS 개발Tool Picobraze Asembler(KCPSM6.EXE) 7.3. 응용및실습분야 UART 코딩실습 SPI Master 통신 Picobraze Assembler 컴파일하기 기타등등 12

13 8. 콘넥터설명 8.1. CN1. DC Jack 5V (DC 입력 ) 본제품은 5V@2A 아답터전원으로사용합니다. 1핀 -5V 2핀 GND 8.2. CN2. Xilinx Jtag Pin Number Pin Name 설명 1 VCC 3.3 V 2 GND Ground 3 TCK JTAG Clock 4 TDO JTAG Data Out 5 TDI JTAG Data In 6 TMS JTAG Mode Set 8.3. CN3 UP Board 콘넥터 ( 3.3V, 1.8V I/O) BANK 1 Num I/O BANK FPGA Num I/O BANK FPGA 2 VCC +5V 1 VCC +5V 4 VCC +5V 3 VCC +5V 6 GND Ground 5 GND Ground 8 IO_L31N BANK1 7 IO_L29N BANK1 10 IO_L31P BANK1 9 IO_L29P BANK1 12 IO_L30N BANK1 11 IO_L33N BANK1 14 IO_L30P BANK1 13 IO_L33P BANK1 16 IO_L35N BANK1 15 IO_L1N BANK1 18 IO_L35P BANK1 17 IO_L1P BANK1 20 IO_L38N BANK1 19 IO_L32N BANK1 22 IO_L38P BANK1 21 IO_L32P BANK1 24 IO_L37N BANK1 23 IO_L36N BANK1 26 IO_L37P BANK1 25 IO_L36P BANK1 28 GND 27 GND 30 IO_L34N BANK1 29 IO_L43N BANK1 32 IO_L34P BANK1 31 IO_L43P BANK1 34 IO_L44N BANK1 33 IO_L39N BANK1 36 IO_L44P BANK1 35 IO_L39P BANK1 13

14 38 IO_L45N BANK1 37 IO_L41N BANK1 40 IO_L45P BANK1 39 IO_L41P BANK1 42 IO_L61N BANK1 41 IO_L40N BANK1 44 IO_L61P BANK1 43 IO_L40P BANK1 46 IO_L46N BANK1 45 IO_L42N BANK1 48 IO_L46P BANK1 47 IO_L42P BANK1 50 GND BANK1 49 GND BANK1 52 IO_L47N BANK1 51 IO_L53N BANK1 54 IO_L47P BANK1 53 IO_L53P BANK1 56 IO_L50N BANK1 55 IO_L48N BANK1 58 IO_50P BANK1 57 IO_L48P BANK1 60 IO_L74N BANK1 59 IO_L47P BANK P3 ( 3.3V I/O 선택 ) BANK0 Num I/O BANK FPGA Num I/O BANK FPGA 1 VCC +5V 2 VCC +5V 3 VCC 3.3V 4 VCC 3.3V 5 IO_L11N BANK0 IO_L11P BANK0 7 IO_L33N BANK0 8 IO_L33P BANK0 9 IO_L34N BANK0 10 IO_L34P BANK0 11 IO_L35N BANK0 12 IO_L35P BANK0 13 IO_L36N BANK0 14 IO_L36P BANK0 15 GND 16 GND 17 IO_N37N BANK0 18 IO_L37P BANK0 19 IO_L38N BANK0 20 IO_L38P BANK0 21 IO_L39N BANK0 22 IO_L39P BANK0 23 IO_L41N BANK0 24 IO_L41P BANK0 25 IO_L50N BANK0 26 IO_L50P BANK0 27 GND 28 GND 29 IO_L62N BANK0 30 IO_L62P BANK0 31 IO_L63N BANK0 32 IO_L63P BANK0 33 IO_L64N BANK0 34 IO_L64P BANK0 35 IO_L65N BANK0 36 IO_L65P BANK0 37 IO_L66N BANK0 38 IO_L66P BANK0 39 GND 40 GND 14

15 8.5. P4 RASPBERRY CONNECTOR Num I/O RASP RASP Num I/O RASP_G RASP GPIO PIO 1 3.3V-RAS +5V 2 VCC +5V IO_B2_14P GPIO_2 SDA 4 VCC +5V 5 IO_B2_15P GPIO_3 SCL 6 GND 7 IO_B2_L15N GPIO_4 GCLK 8 IO_B2_N14 GPIO_14 TXD0 9 GND 10 IO_B2_P16 GPIO_15 RXD0 11 IO_L32P_R8 GPIO_17 GEN0 12 IO_B2_N16 GPIO_18 GEN1 13 IO_L46N_R7 GPIO_27 GEN2 14 GND 15 IO_L47P_N6 GPIO_22 GEN3 16 IO_L32P_T9 GPIO_23 GEN V-RAS 18 IO_L32N_V9 GPIO_24 GEN5 19 IO_L47N_P6 GPIO_10 SPI_MOSI 20 GND 21 IO_L48P_R5 GPIO_9 SPI_MISO 22 IO_L40P_M8 GPIO_25 GEN6 23 IO_L48N_T5 GPIO_11 SPI_SCLK 24 IO_L40N_N8 GPIO_8 SPI_CE0 25 GND 26 IO_L41P_U8 GPIO_7 SPI_CE1 27 IO_L49P_U5 ID_SD 28 IO_L41N_V8 ID_SC 29 IO_L49N_V5 GPIO_5 30 GND 31 IO_L62P_R3 GPIO_6 32 IO_L43N_V7 GPIO_12 33 IO_L62N_T3 GPIO_13 34 GND 35 IO_L63P_T4 GPIO_19 36 IO_L44P_N7 GPIO_16 37 IO_L63N_V4 GPIO_26 38 IO_L44N_P8 GPIO_20 39 GND 40 IO_L45P_T6 GPIO_21 15

16 9. ISE Prom File(*.mcs) 만들기 9.1. Xilinx Tool 을이용한 FPGA 내용변경하기 Jtag tool을이용하여 FPGA 내용을사용자 logic으로변경할수있다 Bit File을만들기아래그림에서 Generate Programming File를더블클릭하면 Synthesis -> Implement -> Bitfile 생성이되며, 개발시필요한 bit file이생성된다 PROM FILE 만들기 Configure Taget Device -> Generate Target PROM/ACE File 을클릭한다. 16

17 ISE IMPACT 프로그램이실행된다. 여기서 Create PROM File Formatter 를클릭한다. Configure Single FPGA -> -> Auto Select PROM -> 순으로클릭한다. Output File Name : 생성될 file 이름 Output File Location : bit file 위치한디렉토리 17

18 하단에 OK 을클릭한다. OK 을누르면 Bitfile 에서생성된 file 을 load 한다. 또다른 device Add 을할창이띄면 No 한다 -> 다음은 OK 을누른다. Geneare File 을실행한다. 여기서사용자 mcs 파일이생성되었다. 18

19 생성된 Bit,mcs File 다운로드하기 J1 콘넥터순서 : VCC, GND, TCK,TDI.TDO, TMS Taget보드와 jtag tool 을연결한다. Usb cable을연결한다. Boundary Scan을클릭한다. 마우스우측 button 을누른후 Initialize Chain 을클릭한다. 19

20 클릭하면우측에 XILINX IC 모양과 SPI/BPI 창이뜬다. SPI/BPI 을클릭한다. 클릭하면위에서생성된 *.MCS 파일을 LOAD 한다. RASPGA 보드에 W25Q64BV/CV 가실장되어있어서이것을선택한다. 녹색으로표시된 FLASH ICON 을클릭한다. 다음에 Program 을선택하여 Write 을진행하며 Write 가완료시성공메시지가표시된다. 20

21 21

22 10. Example Project 개의 LED와 DIP Switch 사용예제 상태표시 LED1, LED2,LED3,LED4 Option를설정하기위한 DIP SWICH SW1,SW2,SW3,SW4 예제소스 : 제공된프로젝트를 RAS_LED_logic.xise open 한다. 위프로젝트를 Implement를실행후 bit File을다운로드한다. 그러면 LED가깜박이는것을볼수있다 RASPGA - ISE 프로젝트실습하기 22

23 10.3. EDK 활용 Example EDK 사용법은 CD 에제공된 Xilinx_Embedded_Processor.pdf 파일을참조합니다. 제공된C/D에서 D:\RAS_EDK\\system.xmp를더블클릭하면위와같이프로젝트가 OPEN 됩니다. 위예제는메모리테스트프로젝트입니다. 여기에사용자로직및응용프로그램을코딩하여사용하면됩니다 Picobraze 실습하기 23

24 명령창에서 kcpsm6.exe uart_bridge.psm 을실행한다. 제공된소스파일이컴파일된다. 위와같이컴파일이진행됩니다. 에러가있으면수정후재컴파일을진행합니다 PICOBRAZE 관련파일 Kcpsm.EXE - PICOBRAZE 어셈블리어 ROM_FORM.V - ROMFILE Template KCPSM6.V - PICOBRAZE 소스코드 UART_BRIDGE.PSM - PICOBARZE TOP 어셈블소스코드 i2c_routines.psm, kc705_i2c_devices.psm, soft_delays_100mhz.psm, PicoTerm_routines.psm uart_rx6.v, uart_tx6.v PICOBRAZE 실습프로젝트 자료실참고, 프로젝트는파일은계속 update됨 24

Product Manual

Product Manual Product Manual FANDA (Flexiable And Adaptive) - FA (Xilinx FPGA XCA00T - EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM0-, Digital Empire, #,Gasan-dong, 서울특별시금천구가산동 번지 Geumcheon-gu Seoul, Korea.

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

Product Manual

Product Manual Product Manual ELS - MB500A (Xilinx FPGA XC6SLX50-FG8 EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM607-, Digital Empire, #685,Gasan-dong, 서울특별시금천구가산동 568번지 Geumcheon-gu Seoul, Korea. (Zip: 50-0)

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

Product Brief Manual

Product Brief Manual Product Brief Manual ELS-6XM Motion Sensor Processing Unit Embedded and Logic Solution elogics 이로직스 Rm607-1,DigitalEmpire,#685Gasandong,Geumcheon-gu 디지털엠파이어 607-1호 ( 우 : 153-023) 서울특별시금천구가산동 685 Seoul,

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

Microsoft Word - jEMB11_kor.docx

Microsoft Word - jEMB11_kor.docx TO : Jinyoung Contech Co., Ltd. #501, 222-12 MARIOTOWER, GURO3-DONG, GURO-KU, SEOUL, KOREA TEL : 82-2-890-6400 FAX : 82-2-890-6406 HOME PAGE : http://www.jyct.com/ Issued Date : 2011-08-23 Page : 17 Pages

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

C# 언어 사양

C# 언어 사양 Chapter 1. Microblaze and DDR3 Microblaze 을사용한임베디드시스템을구현할경우메모리콘트롤러가필요한경우가 많이있습니다. DDR2, 3 메모리의경우에는동작속도가너무높다보니일반적으로 RTL 로메모리 콘트롤러를구현하지않습니다. 이때사용하는툴이 MIG 라는툴인데 MIG 는메모리콘트롤러가매우높은동작 주파수에서도제대로동작할수있도록메모리콘트롤러를만들어줍니다.

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu WISOL / SFM11R2D P/N: DATA SHEET Rev.01 WISOL 531-7, Gajang-ro,Osan-si,Gyeonggi-do Rep. of Korea http://www.wisol.co.kr CONTENTS 1. Approval Revision Record... 3 2. Scope... 4 3. Numbering of product...

More information

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론 강 AVR bard & dwlad cable 2009 년도 학기 A V R 을시작하며 AVR bard Pi cfigurati 64 개의핀으로구성 Vcc(2) Avcc() GND(3) 입출력핀 (8*6+5) Clck, reset, Aref, PEN 한개의핀이두개이상의기능을수행하기도한다. Pi cfigurati I/O PORT A~G 의 7 개의 prt 중 A~E

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

슬라이드 1

슬라이드 1 CCS v4 사용자안내서 CCSv4 사용자용예제따라하기안내 0. CCS v4.x 사용자 - 준비사항 예제에사용된 CCS 버전은 V4..3 버전이며, CCS 버전에따라메뉴화면이조금다를수있습니다. 예제실습전준비하기 처음시작하기예제모음집 CD 를 PC 의 CD-ROM 드라이브에삽입합니다. 아래안내에따라, 예제소스와헤더파일들을 PC 에설치합니다. CD 드라이브 \SW\TIDCS\TIDCS_DSP80x.exe

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

슬라이드 1

슬라이드 1 Delino EVM 용처음시작하기 - 프로젝트만들기 (85) Delfino EVM 처음시작하기앞서 이예제는타겟보드와개발홖경이반드시갖추어져있어야실습이가능합니다. 타겟보드 : Delfino EVM + TMS0F85 초소형모듈 개발소프트웨어 : Code Composer Studio 4 ( 이자료에서사용된버전은 v4..입니다. ) 하드웨어장비 : TI 정식 JTAG

More information

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20BBB7BBB7C7D15F FBEDFB0A3B1B3C0B05FC1A638C0CFC2F72E BC8A3C8AF20B8F0B5E55D> 뻔뻔한 AVR 프로그래밍 The Last(8 th ) Lecture 유명환 ( yoo@netplug.co.kr) INDEX 1 I 2 C 통신이야기 2 ATmega128 TWI(I 2 C) 구조분석 4 ATmega128 TWI(I 2 C) 실습 : AT24C16 1 I 2 C 통신이야기 I 2 C Inter IC Bus 어떤 IC들간에도공통적으로통할수있는 ex)

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

고급 프로그래밍 설계

고급 프로그래밍 설계 UNIT 13 라즈베리파이블루투스 광운대학교로봇 SW 교육원 최상훈 Bluetooth Module 2 Bluetooth Slave UART Board UART 인터페이스용블루투스모듈 slave/device mode 라즈베리파이 GPIO 3 < 라즈베리파이 B+ 의 P1 헤더핀 GPIO 배치도 > wiringpi 라이브러리 4 라즈베리파이 GPIO 라이브러리

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

amazon-ii_stk_header_130704_162

amazon-ii_stk_header_130704_162 GP_[0..] VP LE GREEN 0_led GP_[0..] K-%60_resR GP_[0..] GP_[0..] GP_[0..] GP_[0..] GP0_[0..] GP_[0..] GP_[0..] GP_[0..] GP0_0 GP0_ GP0_ GP0_ GP0_ GP0_ GP0_6 GP0_ GP_0 GP_ GP_ GP_ GP_ GP_ GP_6

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0 TMS0F85 초소형메모리모듈매뉴얼 TMS0F85 초소형메모리모듈제품매뉴얼 V.5. Tel. 0-78-8 Fax. 0-706-8 E-mail. dsptools@syncworks.co.kr [] page TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 09. 0. 9. - Rev..5 09. 0. 09. - Rev..5., 부트모드선택회로설명추가,

More information

BS-K1117□-M□□-3012_ProductGuide_KR_PDF

BS-K1117□-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN AnyWireASLINK System [ASLINK ] S-K1117-M-312..,.,. AnyWireASLINK :, M12 S-K1117-M8-312 S-K1117-M12-312 S-K1117-M18-312 S-K1117-M3-312 S-K1117S-M12-312 S-K1117S-M18-312 S-K1117S-M3-312

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt) 마이크로칩 USB Host & Device 부트로더기능실습 한국마이크로칩서한석부장 (CAE) 2009-09-07 마이크로칩 16 비트 USB 데모보드세팅 Explorer 16 + USB PICtail Plus Daughter Board + USB PIMs Part #: DM240001 Part #: AC164131 Part #: MA240014(PIC24FJ256GB11)

More information

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드]

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드] 제품명 : STM_LCD 보드 STM_LCD 보드는 STMF0 Cortex-M 를처음사용하시는분들께편리한개발환경을제공합니다. 다양한통신커넥터를구비하고있어서특히산업용제품을개발하시고자하는분께좋은 Prototype을제공합니다. 부트보드특징 : 선택 STMF0RBT 스위치 SPI 통신 개 RS 통신 개 RS 통신 IC 통신 C 확장 Data Flash: DB 입출력

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

Microsoft PowerPoint - RPino-GOGO_datasheet.pptx

Microsoft PowerPoint - RPino-GOGO_datasheet.pptx RPino GOGO 사용자설명서 v1.0 Copyright c NulSom Inc. All Rights Reserved. 제품특징및사양 라즈베리파이 (RaspiberryPi) 에장착하여 PC 없이사용하는확장보드 Atmel사의 ATmega328P / 아두이노 (Arduino) 부트로더내장 라즈베리파이를통한아두이노스케치업로드가능 ( 스케치프로그램사용가능 ) 전용스케치로더

More information

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 Eclipse (IDE) JDK Android SDK with ADT IDE: Integrated Development Environment JDK: Java Development Kit (Java SDK) ADT: Android Development Tools 2 JDK 설치 Eclipse

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

Microsoft Word - SMB-63-2_KR_.doc

Microsoft Word - SMB-63-2_KR_.doc 보충 설명서 압소덱스 AX9000TS/TH-U3 (PROFIBUS-DP 사양) SMB-63K-2 머리글 이번에 당사의 압소덱스를 선정해 주셔서 대단히 감사합니다. 압소덱스는 일반 산업용 조립 기계나 검사 기계 등의 간헐 작동 턴테이블 등을 유연하고 정밀도 높게 구동하기 위해 개발된 다이렉트 드라이브 인덱 스 유닛입니다. 본 설명서는 압소덱스 AX9000TS/TH(PROFIBUS-DP

More information

뉴티씨 (NEWTC) ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC ) 1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을

뉴티씨 (NEWTC)   ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC )   1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을 ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC ) 1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을이용한초소형모듈 AM-8PL에내장된 UART 포트로디버깅가능 ( 전원핀포함된뉴티씨 4핀 UART 배열 ) 8MHz 초소형크리스탈클럭채용으로안정성확보및 3.3V/5V 전원에모두동작함. 전원전압 (3.3V/5V)

More information

Microsoft PowerPoint - CPLD_수정1.pptx

Microsoft PowerPoint - CPLD_수정1.pptx Xilinx ISE Design Suite 13.1 대진대학교전자공학과 1. 프로젝트생성하기 Xilinx ISE Design Suite 13.1 을실행한다. 새로운프로젝트생성을위해 File New Project 를클릭한다. 1. 프로젝트생성하기 New Project Wizard 창에서기본설정을마치고 Next 를클릭한다. 프로젝트이름 프로젝트생성경로 프로젝트설명

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

Raspbian 설치 라즈비안 OS (Raspbian OS) 라즈베리파이 3 Model B USB 마우스 USB 키보드 마이크로 SD 카드 마이크로 SD 카드리더기 HDM I 케이블모니터

Raspbian 설치 라즈비안 OS (Raspbian OS) 라즈베리파이 3 Model B USB 마우스 USB 키보드 마이크로 SD 카드 마이크로 SD 카드리더기 HDM I 케이블모니터 운영체제실습 Raspbian 설치 2017. 3 표월성 wspyo74@naver.com cherub.sungkyul.ac.kr 목차 Ⅰ. 설치 1. 라즈비안 (Raspbian 설치 ) 2. 설치후, 설정 설정사항 Raspbian 설치 라즈비안 OS (Raspbian OS) 라즈베리파이 3 Model B USB 마우스 USB 키보드 마이크로 SD 카드 마이크로

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

Implementation of FreeRTOS on LM3S811

Implementation of FreeRTOS on LM3S811 minibee SEMILAB Coordinator Router End Device 로직테크 USB Dongle LM2455 Module & Extension Board RP-M100/M110/MR220/MR500 Module & Extension Board 2015-02-02 www.logictech.kr 1 Revision History Version Date

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

CD-6208_SM(new)

CD-6208_SM(new) Digital Amplifier MA-110 CONTENTS Specifications... 1 Electrical parts list... 2 top and bottom view of p.c. board... 10 Application... 12 block Diagram... 13 Schematic Diagram... 14 Exploded view of cabinet

More information

EP-B-P211.eps

EP-B-P211.eps PFA/PFW Series PFA Series PFW Series PF00 Series Alphabet Index 8 A COM B COM DCV GND F.G. R.S. HOLD COM BANK BANK COUNT PRESET FUNC. AC00~0VCOM OUTOUTOUTOUTOUTS.STOP RD SD SG RS-C PFA/PFW Series, N M/C

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

USB-EK001 매뉴얼

USB-EK001 매뉴얼 USB-IK01 User s Manual AN2131, EZ-USB and Cypress are trademarks of Cypress Semiconductor, Keil and uvision2 are trademarks of Keil software. Windows, Windows2000, Windows NT and Windows XP are trademarks

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

BS-K1217-M□□-3012_ProductGuide_KR_PDF

BS-K1217-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN System [ASLINK ] S-K1217-M-3012..,.,....,,. S-K1217-M08-3012 S-K1217-M12-3012 S-K1217-M18-3012 S-K1217-M30-3012 2() () / 1 2 1 DC..,,.,,,..,....... ' ARW-04 (Ver.04-1.01 ),

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

BJFHOMINQJPS.hwp

BJFHOMINQJPS.hwp 제1 과목 : 디지털 전자회로 1. 다음 회로의 출력전류 Ic 의 안정에 대한 설명 중 옳지 않은 것 Ie를 크게 해치지 않는 범위 내에서 Re 가 크면 클수록 좋 출력파형이 크게 일그러지지 않는 범위 내에서 β 가 크면 클수록 좋 게르마늄 트랜지스터에서 Ico가 Ic 의 안정에 가장 큰 영향을 준 Rc는 Ic 의 안정에 큰 영향을 준 6. 비동기식 모드 (mode)-13

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 KeyPad Device Control - Device driver Jo, Heeseung HBE-SM5-S4210 에는 16 개의 Tack Switch 를사용하여 4 행 4 열의 Keypad 가장착 4x4 Keypad 2 KeyPad 를제어하기위하여 FPGA 내부에 KeyPad controller 가구현 KeyPad controller 16bit 로구성된

More information

airDACManualOnline_Kor.key

airDACManualOnline_Kor.key 5F InnoValley E Bldg., 255 Pangyo-ro, Bundang-gu, Seongnam-si, Gyeonggi-do, Korea (Zip 463-400) T 031 8018 7333 F 031 8018 7330 airdac AD200 F1/F2/F3 141x141x35 mm (xx) 350 g LED LED1/LED2/LED3 USB RCA

More information

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp

À̵¿·Îº¿ÀÇ ÀÎÅͳݱâ¹Ý ¿ø°ÝÁ¦¾î½Ã ½Ã°£Áö¿¬¿¡_.hwp l Y ( X g, Y g ) r v L v v R L θ X ( X c, Yc) W (a) (b) DC 12V 9A Battery 전원부 DC-DC Converter +12V, -12V DC-DC Converter 5V DC-AC Inverter AC 220V DC-DC Converter 3.3V Motor Driver 80196kc,PWM Main

More information

2

2 2 3 4 5 6 7 8 9 10 11 60.27(2.37) 490.50(19.31) 256.00 (10.07) 165.00 111.38 (4.38) 9.00 (0.35) 688.00(27.08) 753.00(29.64) 51.94 (2.04) CONSOLE 24CH 32CH 40CH 48CH OVERALL WIDTH mm (inches) 1271.45(50.1)

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 BOOTLOADER Jo, Heeseung 부트로더컴파일 부트로더소스복사및압축해제 부트로더소스는웹페이지에서다운로드 /working 디렉터리로이동한후, wget으로다운로드 이후작업은모두 /working 디렉터리에서진행 root@ubuntu:# cp /media/sm5-linux-111031/source/platform/uboot-s4210.tar.bz2 /working

More information

s3fn21x_ev_main_r00_110325_temp

s3fn21x_ev_main_r00_110325_temp VIO- VSSIO- P0./T0P/TOPWM/SSPMOSI0 P0./TLK/S0/SSPMISO0 P0./TP/TPWM/SSPFSS0 P0./TLK/SL/SSPLK P0./TP/TPWM/SSPMOSI P0./TLK/S/SSPMISO P0./TP/TPWM/SSPFSS 0 P0./TLK/UZ/USRTTX P0./TP/TPWM/USRTRX P0.0/TLK/ET0/USRTLK

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15.

목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15. 사설명서 http://www.innopix.kr 목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15. 재생방법 (일반 동영상 플레이어) 3-16.

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

Microsoft Word ARM_ver2_0a.docx

Microsoft Word ARM_ver2_0a.docx [Smart]0703-ARM 프로그램설치 _ver1_0a 목차 1 윈도우기반으로리눅스컴파일하기 (Cygwin, GNU ARM 설치 )... 2 1.1 ARM datasheet 받기... 2 1.2 Cygwin GCC-4.0 4.1 4.2 toolchain 파일받기... 2 1.3 Cygwin 다운로드... 3 1.4 Cygwin Setup... 5 2 Cygwin

More information

JMOD : ATmega128 기본모듈 사용자설명서 제이씨넷

JMOD : ATmega128 기본모듈 사용자설명서 제이씨넷 JMOD-128-1 : ATmega128 기본모듈 사용자설명서 제이씨넷 www.jcnet.co.kr 1. JMOD-128-1 개요 1.1 JMOD-128-1 소개 은 ATmega128 MCU를이용하여, 다양한기능을구현하거나시험해볼수있도록모듈형태로개발된마이크로콘트롤러모듈입니다. 개발자의편의를위하여소형모듈에서는국내최초로프로그램 (ISP)

More information

*Revision History 날짜 내용 최초작성 Tel Fax [2] page

*Revision History 날짜 내용 최초작성 Tel Fax [2] page MSP430-SDS100i 매뉴얼 V1.0 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page *Revision History 날짜 내용 2013. 07. 010 최초작성 Tel. 031-781-2812 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr

More information

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오.

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오. 메 뉴 다음 사항을 꼭 확인하세요! --------------------------------- 2p 안전을 위한 주의 사항 --------------------------------- 3p 구성품 --------------------------------- 4p 각 부분의 명칭 --------------------------------- 5p 제품의 규격

More information

M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 www MK-Electronic de B0701 C0013 B 5-1

M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 www MK-Electronic de B0701 C0013 B 5-1 M3005 I0002 B0001 T0001 M0001 G0003 G0011 SYSTEM I0009 W3007 R0006 W3003 I0005 B0701 C0013 B 5-1 ASS'Y - LCD K3001 K4001 I0003 K0001 K1001 K2001 K2002 K4002 K3002 I0013 T4000 K0002 K0100 5-2 Unit-Top T0302

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc

Microsoft Word - Ahram_ISP_V15_Manual_V20.doc Ahram ISP V1.5 사용자매뉴얼 Manual Ver 2.0 Ahramsoft CO.LTD www.ahramsoft.com Contents 1. 모델이름 ------------------------------------------------------- 3 2. 용 도 -------------------------------------------------------

More information

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx

Microsoft PowerPoint SDK설치.HelloAndroid(1.5h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 개발환경구조및설치순서 JDK 설치 Eclipse 설치 안드로이드 SDK 설치 ADT(Androd Development Tools) 설치 AVD(Android Virtual Device) 생성 Hello Android! 2 Eclipse (IDE) JDK Android SDK with

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

Microsoft PowerPoint - RPino-GOGO-PLUS_datasheet.pptx

Microsoft PowerPoint - RPino-GOGO-PLUS_datasheet.pptx RPino GOGO PLUS 사용자설명서 v1.0 10 Copyright c NulSom Inc. All Rights Reserved. 제품특징및사양 라즈베리파이 (RaspberryPi Model B+) 에장착하여 PC 없이사용가능한확장보드 아두이노 (Arduino) 부트로더내장 라즈베리파이를통한아두이노스케치업로드가능 (Arduino IDE 사용가능 ) Micro

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Altium Designer 16 Intergratech 목차 1. 3D STEP Model Generation in IPC Wizard 2. Embedded Board Array Enhancements 3. Design Rules Enhancements 4. Streamlined Design Rule Editor 5. Differential Pair Routing

More information

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

.  ,.... :...,,....,.. :..,,,..,,...,.... 2 RD-5405 /.. . "" "",.... :...,,....,.. :..,,,..,,...,.... 2 ..,,..,.. (,,,, )......,...,., ( ),,,,.,. (, )..,...... BD/DVD CD TV, VCR,........ (+, -).,,..... 3 ... 2... 3....3... 5... 9... 10...11...11...

More information

1. 제품소개및설명 제품특징 그림. 개발장비사진 - AVR FLASH READ/WRITE 가능 - AVR ISP 프로그래밍방식을지원하는 ATmega8, ATmega16, ATmega32, ATmega64, ATmega128, ATmega 등다수의 AVR MC

1. 제품소개및설명 제품특징 그림. 개발장비사진 - AVR FLASH READ/WRITE 가능 - AVR ISP 프로그래밍방식을지원하는 ATmega8, ATmega16, ATmega32, ATmega64, ATmega128, ATmega 등다수의 AVR MC USB AVR ISP 개발장비매뉴얼 (Model: V02) WWW.LKEMBEDDED.CO.KR 2010 LK EMBEDDED User Guide Version 1.0 페이지 1 1. 제품소개및설명 제품특징 그림. 개발장비사진 - AVR FLASH READ/WRITE 가능 - AVR ISP 프로그래밍방식을지원하는 ATmega8, ATmega16, ATmega32,

More information