Product Manual

Size: px
Start display at page:

Download "Product Manual"

Transcription

1 Product Manual ELS - MB500A (Xilinx FPGA XC6SLX50-FG8 EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM607-, Digital Empire, #685,Gasan-dong, 서울특별시금천구가산동 568번지 Geumcheon-gu Seoul, Korea. (Zip: 50-0) 디지털엠파이어 607-호 ( 우 : 5-050) Phone: (0) 6-57 전화 : (0) 6-57 Fax: (0)6-575 팩스 : (0)6-575 naaman@paran.com naaman@paran.com elogics All rights reserved

2 ELS-MB500A Manual V..0 [0-0-08] ELS-500A Manual Version Version Description Date Who 0.9 Initial Create Elogics 0.99 버그수정 Elogics.0 CHIP 교체 Elogics

3 ELS-MB500A Manual V..0 [0-0-08] 목차. 제품설명 제품사양 하드웨어사양 소프트웨어사양 전기적사양 제품구성 보드사진및구성도 보드사진 보드 BLOCK DIAGRAM 기능설명 The overview of MicroBlaze is as follows: 소프트프로세서 ( 마이크로브레이즈 ) Bus 구조 HDMI 출력설명 응용및실습분야 ELS-MB500A 회로도 USB 회로도 : USB. FTRL CHIP 을사용했다 FPGA DDR 회로도 6Bit 8Mbyte DDR 메모리 Gbps 이더넷회로도 /00 이더넷회로도 업보드확장콘넥터회로도 업보드확장콘넥터회로도...

4 ELS-MB500A Manual V..0 [0-0-08] 7.6. DVI OUT 회로도 System Clock generation 회로도....V 50Mhz OSC....5V 00MhZ LVDS OSC 기본장착 (clock+, clock-) Reset 회로 전원회로 Configuration Prom 회로 콘넥터설명 USB Console 포트로사용됨 CN6 RJ 5 JACK Gbps 이더넷콘넥터 J9 RJ 5 JACK 0/00 bps 이더넷콘넥터 J,CN7 DC Jack 5V (DC 입력 ) J0. Xilinx Jtag J8 UP Board 콘넥터 (.V I/O) J7 (.8V,.V I/O 선택 ) UP Board 콘넥터 B:.8V, B9:.V( 디폴트 ) BEAD : BANK0 전원선택스위치 ( 개중 개만선택한다.) 디폴트.V P. MINI HDMI 콘넥터 ( TMDS.V I/O) Xilinx Tool 을이용한 FPGA 내용변경하기 Example Project 개의 LED 와 DIP Switch 사용예제

5 ELS-MB500A Manual V..0 [0-0-08]. 제품설명 ELS-500A은 Xilinx사의스파르탄시리즈중 XC6S5,5,75,50-FG8패키지로제작된 FPGA EVM보드입니다. 보드내에 On chip PHY 0/00/000bps, Local Bus 0/00Mbps 이더넷, 8Mbyte DDR(6Bit) 메모리, LVDS 00Mhz OSC, MINI HDMI TX, USBSERIAL등이내장되어있다. 또한사용자가포트를확장할수있도록 80핀 IO포트가 개있습니다. 구동전원은 5V A 전원으로동작하며, 보드동작을표시하기위한 LED등이있습니다. 기존에스파르탄 용으로제작된 MB500 업그레이드버전이기때문에 I/O 포트를호환되도록설계하였으며, 최대 XC6S50-FG56 칩으로구매시최대 500만게이트용량까지사용할수있는장점이있습니다.. 제품사양.. 하드웨어사양 FPGA : Xilinx XC6SLX5,5,75,50-FG8(50~500) 만게이트사용할수있음 DDR-6Bit 8Mbyte M88E-Gbps 이더넷 LAN90 0/00Mbps 이더넷 Single USBSerial Port FPGA Configuration EEPROM(SPI PROM) DC Power 5V 입력 bit dip switch bit LED, 전원표시 LED 업보드확장콘넥터 (x0xx.0mm) 보드사이즈 : 5mm x 90mm.5V LVDS 00Mhz, 50Mhz OSC 전원스위치.. 소프트웨어사양 ISE., EDK ( 예제코드 ), ISE.5 이상지원됨 제공소스 : 마이크로브레이즈예제기본, SP60를수정한소스 DVI OUT 소스 마이크로브레이즈 LWIP 테스트소스코드 Serial Uart Source 코드.. 전기적사양 5V A DC 아답터 ( 메인전원 ).V FPGA CORE 전원.8V DDR 메모리전원.5V 이덧넷 I/O 전원.V 주변 I/O 전원 5

6 ELS-MB500A Manual V..0 [0-0-08]. 제품구성 구분 수량 비고 ELS-MB500A, USB Cable 판매 제품설명서 이로직스 회로도 PDF, ORCAD 원본 ( 이메일발송 ) Webhard 제공소스 - 영상처리필터소스 (SB60) Webhard - 마이크로브레이즈예제코드 6

7 CY9 LAN 90 array res JTAG ELS-MB500A Manual V..0 [0-0-08]. 보드사진및구성도.. 보드사진.. 보드 BLOCK DIAGRAM PWR SW.V DCJACK.5V.8V USB XC6S-5/5/00/50 FG56 DDR SDRAM 90mm RJ-5 0 RJ-5 88E CFG FLASH XCS08F 05mm 7

8 ELS-MB500A Manual V..0 [0-0-08] 5. 기능설명 본제품은 Xilinx 사에서제공되는 ISE Tool를이용한 VHDL,Velog H/W 개발언어를이용한여러가지 IP(UART, HDMI, DSP BLOCK, MAC) 를실습할수있으며, 또한 EDK Tool을사용하여 FPGA내부에마이크로브레이즈마이컴을내장하는방법과예제프로그램을테스트할수있는 EVM 보드입니다. 단지교육용만아니라여러가지용도로응용할수있도록확장 I/O 포트가내장되어있습니다.. 6. The overview of MicroBlaze is as follows: 6.. 소프트프로세서 ( 마이크로브레이즈 ) -bit, RISC Processor -bit, fixed length instruction generic bit registers -Stage Pipeline Instruction cache and data cache Hardware multiplier Hardware debug logic supported 6.. Bus 구조 The bus consists of the following three bus types. FPGA Internal LMB A dedicated bus used to connect the Micro Blaze and BRAM (FPGA internal memory). FPGA Internal OPB A bus used to connect multiple peripheral IP cores. When customizing, peripheral cores are added to this bus. FPGA External Bus A bus used to connect external memory devices through OPB EMC and OPB DDR SDRAM.,AXI BUS 지원 6.. HDMI 출력설명 HDMI(DVI) 출력은 MB500A에서영상처리된데이터를 DVI 포트로모니터에표시하기위해서사용되며, MB500A보드내에 FRAME BUFFER가있으며, 메모리에저장된내용을 80x0x70Hz로모니터에디스플레이됩니다. 지원해상도 80x0x70Hz DVI 모니터 DUAL BIT RGB 출력 R/A 콘넥터전기적사양 BIT DUAL RGB DATA(.V) HSYNC, DISPLAY EN, PCLK (.V) DDC 데이터는지원하지않음 8

9 UX60-MB-5ST, miniusb Type AB AGND GND GND GND 0uF/0V PGB00060MR PGB00060MR G G 6 7 VOUT 0uF/0V 7 ELS-MB500A Manual V..0 [0-0-08] 6.. 응용및실습분야 네트워크 JPG DID 광고용모니터 영상처리실습등 이더넷 MAC 코딩실습 UART 코딩실습 기타등등 ELS-MB500A 회로도 7.. USB 회로도 : USB. FTRL CHIP 을사용했다. VCCV5 USB_5V VB D- D+ ID 5 G USB USB_5V C95 D9 C USB_D- USB_D+ D OSCO OSCI NC NC USBDM USBDP RESET TEST VCCIO VCC 0 U TXD RXD 5 RTS CTS DTR DSR 9 DCD 0 6 RI CBUS CBUS CBUS CBUS CBUS0 FT_TXD FT_RXD ST_RXD ST_TXD ST_RXD ST_TXD FTRL 9

10 C76 C77 C78 C79 C06 C07 C08 C09 C0 C C C R79 NA C9 C95 C96 C97 C98 C99 C00 C0 R7.7K R75.7K R76.7K R77.7K R7.7K R78.7K R59 7 R60 7 R6 7 R6 7 R6 7 R6 7 R65 7 R66 7 CT50 uf/6v 0.00uF 9 uf/6v uf/6v uf/6v uf/6v GND0 GND BEAD CT5 uf/6v 0.00uF CT9 uf/6v ELS-MB500A Manual V..0 [0-0-08] 7.. FPGA DDR 회로도 6Bit 8Mbyte DDR 메모리 DDR_VREF U6C USER_SW C9 J0 DDR_DB B0 IO_LP_A5_ IO_LP_GCLK5_MDQ_ J DDR_DB5 IO_LN_A_VREF_ IO_LN_GCLK_MDQ5_ K DDR_DB6 G6 IO_LP_A_MDQ6_ K DDR_DB7 G7 IO_L9P_ IO_LN_A_MDQ7_ L0 DDR_DQS0_P F6 IO_L9N_ IO_L5P_A_MDQS_ L DDR_DQS0_M F7 IO_L0P_ IO_L5N_A0_MDQSN_ M DDR_DB B IO_L0N_ IO_L6P_FCB_B_M_DQ_ M DDR_DB 8V_IO9P B IO_L9P_ IO_L6N_FOE_B_M_DQ_ N0 DDR_DB0 8V_IO9N A0 IO_L9N_ IO_L7P_FWE_B_MDQ_ N DDR_DB 8V_IO0P A IO_L0P_ IO_L7N_LDC_MDQ_ P DDR_DB8 8V_IO0N K6 IO_L0N_ IO_L8P_HDC_MDQ8_ P DDR_DB9 J6 IO_LP_ IO_L8N_MDQ9_ R0 DDR_DB0 H6 IO_LN_ IO_L9P_MDQ0_ R DDR_DB H7 IO_L8P_ IO_L9N_MDQ_ T DDR_DQS_P DDR_A D9 IO_L8N_VREF_ IO_L50P_MUDQS_ T DDR_DQS_M FPGA_SCL D0 IO_L9P_A_MA_ IO_L50N_MUDQSN_ U0 DDR_DB FPGA_SDA F8 IO_L9N_A_MA_ IO_L5P_MDQ_ U DDR_DB DDR_A F9 IO_L0P_A_MRESET_ IO_L5N_MDQ_ V DDR_DB DDR_CKE D IO_L0N_A0_MA_ IO_L5P_MDQ_ V DDR_DB5 DDR_A D IO_LP_A9_MCKE_ IO_L5N_MDQ5_ M9 USER_SW DDR_A8 C0 IO_LN_A8_MA_ IO_L5P_ N9 IO_LP_A7_MA8_ IO_L5N VREF_ DDR_A9 C M6 DDR_A0 G9 IO_LN_A6_MA9_ IO_L58P_ L5 DDR_A F0 IO_LP_A5_MA0_ IO_L58N_ P9 TERM_P DDR_WE H9 IO_LN_A_MA_ IO_L59P_ P0 TERM_N DDR_BA H8 IO_LP_A_MWE_ IO_L59N_ W0 USER_SW DDR_A7 E0 IO_LN_A_MBA_ IO_L60P_ W USER_SW0 DDR_A E IO_L5P_A_MA7_ IO_L60N_ L7 DDR_BA0 IO_L6P_ XEINT J7 IO_L5N_A0_MA_ K8 DDR_BA IO_L6N_ XEINT K7 IO_L6P_A9_MBA0_ U9 DDR_A0 F IO_L6N_A8_MBA_ IO_L70P_ V0 DDR_A F IO_L7P_A7_MA0_ IO_L70N_ M7 CLK_DDRA H0 IO_L7N_A6_MA_ IO_L7P_ M8 IO_L8P_A5_MCLK_ IO_L7N_ CLK_DDRA# J9 P7 DDR_A G0 IO_L8N_A_MCLKN_ IO_L7P_ N6 DDR_OPT G IO_L9P_MA_ IO_L7N_ P8 DDR_A5 IO_L7P_ IC_SCL K0 IO_L9N_MODT_ R9 DDR_A6 IO_L7N_ IC_SDA K9 IO_L0P_GCLK_MA5_ T9 DDR_RAS IO_L7P_AWAKE_ XEINT H IO_L0N_GCLK0_MA6_ T0 DDR_CAS IO_L7N_DOUT_BUSY_ XEINT H IO_LP_GCLK9_MRASN_ DDR_DQM M0 IO_LN_GCLK8_MCASN_ DDR_DQM0 L9 IO_LP_GCLK7_MUDM_ IO_LN_GCLK6_MLDM_ XC6S5-FG8 org SPARTAN-6 FG8 + DDR_A0 DDR_A DDR_A DDR_A DDR_A DDR_A5 DDR_A6 DDR_A7 DDR_A8 DDR_A9 DDR_A0 DDR_A DDR_A DDR_A CLK_DDRA CLK_DDRA# DDR_CKE DDR_CS DDR_RAS DDR_CAS VCC.8V DDR_WE VCC.8V U0 AE NC NC DDR_DQM0 DDR_DQM R87 0K DDR_BA DDR_BA DDR_VREFM R88 0K LENGTH SAME A G8 DDR_DB0 E VDDL DQ0 G DDR_DB J9 VDD DQ H7 DDR_DB M9 VDD DQ H DDR_DB R VDD DQ H DDR_DB J VDD DQ H9 DDR_DB5 A9 VDDL DQ5 F DDR_DB6 C VDDQ DQ6 F9 DDR_DB7 C VDDQ DQ7 C8 DDR_DB8 C7 VDDQ DQ8 C DDR_DB9 C9 VDDQ DQ9 D7 DDR_DB0 E9 VDDQ5 DQ0 D DDR_DB G VDDQ6 DQ D DDR_DB G VDDQ7 DQ D9 DDR_DB G7 VDDQ8 DQ B DDR_DB G9 VDDQ9 DQ B9 DDR_DB5 VDDQ0 DQ5 M8 A8 DDR_DQS0_M M A0 UDQS# B7 DDR_DQS0_P M7 A UDQS E8 DDR_DQS_M N A LDQS# F7 DDR_DQS_P N8 A LDQS N A N7 A5 P A6 R P8 A7 RFU R7 P A8 RFU M A9 P7 A0 K9 DDR_OPT R A ODT R8 A A A/RFU VSS E J8 VSS J K8 CK VSS N K CK# VSS P9 L8 CKE VSS5 A7 K7 CS# VSSQ B L7 RAS# VSSQ B8 K CAS# VSSQ D WE# VSSQ D8 F VSSQ5 E7 B LDM VSSQ6 F UDM VSSQ7 F8 L VSSQ8 H L BA0 VSSQ9 DDR_BA0 H8 L BA VSSQ0 J7 BA VSSDL J VREF KTG6QQ-HC(L)E6-667 네트길이조정 DDR_A RAA DDRVREF DDR_A RAB DDR_A6 RAC5 6 DDR_A5 RAD7 8 DDR_A RAA C DDR_A RAB DDR_A0 RAC5 6 C DDR_A0 RAD7 8 DDR_A8 RA5A C5 DDR_A9 RA5B DDR_A7 RA5C5 6 C6 DDR_A RA5D7 8 DDR_A RA6A C7 DDR_BA RA6B DDR_BA0 RA6C5 6 DDR_CAS RA6D7 8 DDR_A RA7A DDRVREF DDR_WE RA7B DDR_RAS RA7C5 6 C8 DDR_BA RA7D7 8 C9 C50 C5 C5 VCC.8V C55 C56 C57 C58 C59 C60 C6 C6 + X7R X7R X7R X7R X7R X7R X7R X7R R 7 TERM_P R 7 x TERM_N R89 CLK_DDRA CLK_DDRA# 00 R 7 DDR_CS R5 7 DDR_CKE R90 7 DDR_OPT VCC.8V R R K FPGA_SCL K FPGA_SDA.V VCC.8V VCC.8V DDR_VREF B6 U VCC.8V + org R85 0K R86 0K RP 8 0K SW USER_SW USER_SW USER_SW USER_SW0 VCC.8V C8 X7R C78 CT5+ 0.0uF C67.7uF SD VDDQ 5 6 AVIN PVIN 7 VSENSE VREF 8 VTT LP996/7MR xct5 + B7 VTT_DDRV.0V@A BEAD CT0 CT + + SW/SMD-/SM_ 7.. Gbps 이더넷회로도 VCCV5.7K R55.7K R56 U7 * Routing Length Same * LVDS SIGNAL( Pair SIGNAL) -> P/N PHY_AVDD0 CN6 PHY_MDIO PHY_MDC 5 MDIO MDC MDIO_P MDIO_N TD0_P TD0_N.7K R57 에러수정 PHY_INT PHY_RESET_B PHY_CRS PHY_COL.99K R58 PHY_RXCLK PHY_RXER PHY_RXCTL_RXDV VCC.V +CT uf/6v VCCV5 +CT uf/6v PHY_RXD0 PHY_RXD PHY_RXD PHY_RXD PHY_RXD PHY_RXD5 PHY_RXD6 PHY_RXD7 PHY_GTXCLK PHY_TXCLK PHY_TXER PHY_TXEN PHY_TXD0 PHY_TXD PHY_TXD PHY_TXD PHY_TXD PHY_TXD5 PHY_TXD6 PHY_TXD7 VCCV CLK5 INIT_B COMA RESET_B RSET CRS COL RXCLK RXER RXDV RXD0 RXD RXD RXD RXD RXD5 RXD6 RXD7 GTXCLK TXCLK TXER TXEN TXD0 TXD TXD TXD TXD TXD5 TXD6 TXD7 TDI TMS TRST_B TDO TCK NC_50 VDDOX_7 VDDOX_ VDD_ VDDO_0 VDD_ VDD_5 VSS9 VSS9 VSS8 VSS8 VSS66 VSS65 VSS6 VSS60 VSS58 VSS55 VSS5 VSS8 VSS5 VSS VSS0 VSS8 VSS VSS VSS5 VSS9 VSS 6 MDI_P MDI_N 7 56 MDI_P MDI_N 57 6 MDI_P MDI_N 6 5 HSDAC_P HSDAC_N 5 0 SCLK_P SCLK_N 09 SIN_P SIN_N 07 SOUT_P SOUT_N 05 SEL_OSC XTAL 75 XTAL 00 LED_LINK0 99 LED_LINK00 98 LED_LINK000 LED_DPLX 95 LED_RX 9 LED_TX 9 88 CONFIG0 87 CONFIG 86 CONFIG 8 CONFIG 8 CONFIG 80 CONFIG5 79 CONFIG6 0 AVDD_0 6 AVCC_6 59 AVDD_59 5 AVDD_5 9 AVDD_9 AVDD_ 89 VDDOH_89 97 VDDOH_97 7 VDDOH_7 8 DVDD_8 7 DVDD_7 96 DVDD_96 90 DVDD_90 85 DVDD_85 78 DVDD_78 7 DVDD_7 DVDD_ 7 DVDD_7 DVDD_ 6 DVDD_6 DVDD_ 7 VSS_7 9 VSS_9 6 VSS_6 VSS_ 08 VSS_08 06 VSS_06 0 VSS_0 0 VSS_0 0 VSS_0 7 VSS_7 TOP ROUTING C89 C90 C9 C9 C88 pf 0.0uF 0.0uF 0.0uF 0.0uF 5MHz Y C9 pf VCCV5BOTTOM PLACE PHY_LED_LINK0 LED0 SMD_LED(608) PHY_LED_LINK00 K R67 PHY_LED_LINK000 LED SMD_LED(608) K R68 PHY_LED_DUPLEX LED SMD_LED(608) PHY_LED_RX K R69 PHY_LED_TX LED SMD_LED(608) K R70 PHY_CFG0 LED SMD_LED(608) K R7 LED5 SMD_LED(608) VCCV5 K R7 PHY_LED_RX VCCV5 PHY_AVDD0 VCCV5 PHY_CFG0 VCC.V 에러수정 VCCV5 PHY_AVDD0 B BEAD +C80 uf/6v SMD-CT(5) 6 TD_P TD_N RJ-5 5 TD_P TD_N 7 8 TD_P TD_N 9 0 VCC TCGND SHIELD HFJ-G0E/ B BEAD M88E X 0

11 ELS-MB500A Manual V..0 [0-0-08] 0/00 이더넷회로도 7.. 업보드확장콘넥터회로도 R9 K R0 0K R5.7K + C68 uf/6v VCCV5 VCCV5 ETN_.V VCCV5 VCCV5.V LED_A 7 LED_B 7 ERXD- 7 ETXD- 7 ERXD+ 7 ETXD+ 7 ERXD+ ERXD- VCCV5 R7 K VCCV5 R05 K VCCV5.V ETXD- ETXD+ + C69 uf/6v VDD_8CORE C70 C7 C pf C pf Y6 5MHz VCCV5 BA U8 LAN90 / LAN9 VDD8CORE GPIO0/nLED GPIO/nLED GPIO/nLED 5 VDDA 5 TPO+ 5 TPO- TPI+ 8 TPI- 7 VDDREG PME nreset nrd 5 nwr 6 VDD8CORE 7 ncs 7 EXRES 50 AMDIX_EN 5 FIFO_SEL IRQ VDD8A 5 D5 9 D 0 D D D D0 5 D9 6 D8 7 VDDVARIO 8 A A A 0 A 9 A5 8 A6 7 A7 6 VDDVARIO D7 8 D6 9 D5 D D D D 5 D0 6 VDDVARIO 0 VDDVARIO 56 XTAL/CLKIN 55 XTAL 5 VDDA 6 VDDA 9 VSS(PAD) 57 TEST EECLK 0 EEDIO/GPO/TX_EN/TX_CLK 8 EECS 9 BWE BOE SYS_RST BA BA BA BA7 BA6 BA5 BA BD BD BD0 BD9 BD5 BD6 BD8 BD BD7 BD BD5 BD BD BD BD BD0 INT_ETH ETH_CS B BEAD R R6 K R.7K R9.K R9 K C6 R9 K R97 0K C6 INIT_65P LENGTH SAME LENGTH SAME J8 CON80A SPARTAN-6 FG8 U6D XC6S5-FG8 IO_L8P_ V IO_L8N_ W IO_L7P_ Y6 IO_L7N_ W5 IO_L9P_ AA6 IO_L9N_ AB6 IO_L5P_ Y7 IO_LN_D_ AB8 IO_LP_CMPCLK_ AA IO_LN_CMPMOSI_ AB IO_LP_ T8 IO_LN_VREF_ T7 IO_L5P_ Y9 IO_L5N_ AB9 IO_L6P_ W8 IO_LP_D_ AA8 IO_LN_D0_ V5 IO_L6N_ Y8 IO_L7P_ T6 IO_L7N_ T5 IO_L8P_ U7 IO_L5N_ AB7 IO_L8N_ U6 IO_L9P_ V9 IO_L9N_ V8 IO_L0P_ R6 IO_L6P_ AA IO_L6N_VREF_ AB IO_L0N_ R5 IO_LP_ V7 IO_LN_ W7 IO_L0P_ W IO_L0N_ Y IO_LP_ Y5 IO_LN_ AB5 IO_LP_ T IO_LN_ U IO_LP_ T IO_LN_ R IO_L9P_GCLK_ W IO_L9N_GCLK_ Y IO_LP_GCLK9_ Y IO_LN_GCLK8_ AB IO_L0P_ R IO_L0N_ T IO_LP_ AA0 IO_LN_ AB0 IO_LP_ V IO_LN_ W IO_LP_ Y9 IO_N_ AB9 IO_LP_ W0 IO_LN_ Y0 IO_L5P_ AA8 IO_L5N_ AB8 IO_L6P_ W8 IO_L6N_ V7 IO_L7P_ W9 IO_L7N_ Y8 IO_L8P_D7_ Y7 IO_L8N_RDWR_B_VREF AB7 IO_L9P_D_ AA6 IO_L9N_D_ AB6 IO_L50P_ U9 IO_L50N_ V9 IO_L5P_ T8 IO_L5N_ U8 IP_L5P_ T0 IO_L5N_ U0 IO_L5P_ W6 IO_L5N_ Y6 IO_L5P_ Y5 IO_L5N_ AB5 IO_L57P_ AA IO_L57N_ AB IO_L58P_ Y IO_L58N_ AB IO_L59P_ R9 IO_L59N_ R8 IO_L60P_ T7 IO_L60N_ R7 IO_L6P_D5_ W IO_L6N_D6_ Y IO_L6P_ U6 IO_L6N_ V5 IO_L6P_D8_ AA IO_L6N_D8_ AB IO_L65P_INIT_B_ T6 IO_L0P_GCLK_ Y IO_L0N_GCLK0_ AB IO_LP_GCLK_ AA IO_LN_GCLK0_ AB BUG FIX FPGA_CLK LVDS_P5 LVDS_N LVDS_N LVDS_P6 LVDS_N6 LVDS_N5 LVDS_P6 LVDS_P0 LVDS_N0 LVDS_P5 LVDS_N5 LVDS_P LVDS_P LVDS_N LVDS_P LVDS_N LVDS_N6 LVDS_P LVDS_N LVDS_P LVDS_P0 LVDS_N0 LVDS_P5 LVDS_N LVDS_P LVDS_N LVDS_P LVDS_N DSS_D5 DSS_D0 LVDS_N7 LVDS_P7 LVDS_N6 LVDS_P6 LVDS_N5 DSS_D DSS_D DSS_D9 LVDS_P50 LVDS_N9 LVDS_P9 LVDS_N8 LVDS_P8 DSS_D DSS_D7 LVDS_P6 LVDS_N6 LVDS_P6 LVDS_N5 LVDS_P5 LVDS_N50 DSS_D DSS_D DSS_PCLK+ LVDS_N5 LVDS_P5 LVDS_P7 LVDS_N7 LVDS_N6 DSS_D8 DSS_D0 LVDS_N9 LVDS_P6 LVDS_N6 LVDS_P8 LVDS_N8 DSS_D6 DSS_ACBIAS LVDS_P58 LVDS_N57 LVDS_P0 LVDS_N0 LVDS_P57 LVDS_P9 LVDS_N60 LVDS_P60 LVDS_N59 LVDS_P59 LVDS_N58 LVDS_P LVDS_N LVDS_P LVDS_N DSS_HSYNC DSS_VSYNC LVDS_N LVDS_P LVDS_P LVDS_N LVDS_N LVDS_N6 LVDS_P6 LVDS_P0 LVDS_N0 LVDS_P5 LVDS_P57 LVDS_N57 LVDS_P LVDS_P LVDS_N LVDS_P LVDS_N5 LVDS_N LVDS_P0 LVDS_N0 LVDS_P59 LVDS_P7 LVDS_N6 LVDS_N59 LVDS_N7 LVDS_P50 LVDS_P60 LVDS_P LVDS_N60 LVDS_N LVDS_P6 LVDS_N8 LVDS_P8 LVDS_P5 LVDS_N5 LVDS_N50 LVDS_N8 LVDS_P LVDS_N LVDS_N6 LVDS_P6 LVDS_P5 LVDS_P9 LVDS_N9 LVDS_P9 LVDS_N9 LVDS_P8 LVDS_P5 LVDS_N5 LVDS_N5 LVDS_P5 LVDS_N5 LVDS_N LVDS_P6 LVDS_N6 LVDS_P7 LVDS_N7 LVDS_N6 LVDS_P LVDS_N LVDS_P LVDS_N0 LVDS_P0 LVDS_P6 LVDS_N6 LVDS_P6 LVDS_N LVDS_P58 LVDS_N58 VCC. BANK IO_LP_ LVDS_P EXT_CLK EXT_CLK INIT_65P R7 0K.V FPGA_CLK SMD& DIP 겸용.V Y MHz CLK VCC NC GND R98

12 ELS-MB500A Manual V..0 [0-0-08] 7.5. 업보드확장콘넥터회로도 7.6. DVI OUT 회로도 LVDS0_NN6 LVDS0_NP6 LVDS0_N LVDS0_P J7 CON80A SPARTAN-6 FG8 U6A XC6S5-FG8 IO_LN_0 C6 IO_LP_0 B6 IO_LN_0 A6 IO_L5P_0 C7 IO_LN_0 A5 IO_LP_0 C5 IO_LN_VREF_0 A IO_LP_0 D6 IO_L7P_GCLK_0 B IO_L7N_GCLK_0 A IO_L5N_0 A7 IO_L6P_0 B8 IO_L6N_0 A8 IO_L7P_0 D9 IO_L7N_0 C8 IO_L8P_0 C9 IO_L8N_VREF_0 A9 IO_LP_0 E8 IO_LN_0 F8 IO_L5P_0 G8 IO_L5P_GCLK7_0 C IO_L5N_GCLK6_0 A IO_L6P_GCLK5_0 D IO_L6N_GCLK_0 C IO_L5N_0 F9 IO_L6P_0 G9 IO_L6N_0 H0 IO_L7P_0 E0 IO_L7N_0 F0 IO_L8P_0 G IO_L8N_0 H IO_LP_0 D7 IO_LN_0 D8 IO_LP_0 D0 IO_LN_0 C0 IO_LP_GCLK9_0 B0 IO_LN_GCLK8_0 A0 IO_LP_HSWAPEN_0 A IO_L8P_0 C IO_L8N_VREF_0 A IO_L5N_0 D IO_L6P_0 H IO_L6N_0 G IO_L7P_0 E IO_L7N_0 F5 IO_L8P_0 F IO_LP_0 E IO_LN_0 D IO_LP_0 H IO_L8N_0 H IO_L9P_0 D IO_L9N_0 C IO_LN_0 F IO_L50P_0 B IO_L50N_0 A IO_L5P_0 C5 IO_L5N_0 A5 IO_L6P_0 D5 IO_L6N_VREF_0 C6 IO_L6P_SCP7_0 B6 IO_L6N_SCP6_0 A6 IO_L6P_SCP5_0 C7 IO_L6N_SCP_0 A7 IO_L65P_SCP_0 B8 IO_L65N_SCP_0 A8 IO_L66P_SCP_0 E6 IO_L66N_SCP0_0 D7 IO_L5P_0 F 5V R09 0K LVDS0_P5 LVDS0_N5 LVDS0_NN7 LVDS0_NP7 R0 0K LVDS0_P7 LVDS0_N7 R 0K LVDS0_P6 LVDS0_N LVDS0_P LVDS0_N6 LVDS0_NP8 LVDS0_NN8 LVDS0_NP LVDS0_N LVDS0_P LVDS0_N R8 0 LVDS0_N5 LVDS0_P LVDS0_N LVDS0_P LVDS0_N LVDS0_N8 LVDS0_P7 LVDS0_N7 LVDS0_P6 LVDS0_N6 LVDS0_P5 LVDS0_N LVDS0_P LVDS0_P LVDS0_N LVDS0_P8 LVDS0_P6 LVDS0_N5 LVDS0_P5 LVDS0_N LVDS0_P LVDS0_N LVDS0_N8 LVDS0_P8 LVDS0_N7 LVDS0_P7 LVDS0_N6 LVDS0_N5 LVDS0_P5 LVDS0_N LVDS0_P LVDS0_P LVDS0_P8 LVDS0_N7 LVDS0_P7 LVDS0_N6 LVDS0_P6 LVDS0_P5 LVDS0_N50 LVDS0_P50 LVDS0_N9 LVDS0_P9 LVDS0_N8 LVDS0_N6 LVDS0_P6 LVDS0_P6 LVDS0_N6 LVDS0_N5 LVDS0_N66 LVDS0_P65 LVDS0_N65 LVDS0_P6 LVDS0_N6 LVDS0_P LVDS0_P66 LVDS0_NN R 0K VCC. &.8V SELECT BLOCK LVDS0_NN5 LVDS0_NP5 LVDS0_P9 LVDS0_P6 LVDS0_N9 LVDS0_N LVDS0_N LVDS0_N6 LVDS0_N LVDS0_P LVDS0_P6 LVDS0_N6 LVDS0_N50 LVDS0_P50 LVDS0_P6 LVDS0_N6 LVDS0_P6 LVDS0_N5 LVDS0_P5 LVDS0_N6 LVDS0_N LVDS0_P LVDS0_N5 LVDS0_P5 LVDS0_N7 LVDS0_P7 LVDS0_N5 LVDS0_P5 LVDS0_P6 LVDS0_N6 LVDS0_N65 LVDS0_P65 LVDS0_N8 LVDS0_P8 LVDS0_N8 LVDS0_P8 LVDS0_N8 LVDS0_P8 LVDS0_P LVDS0_N66 LVDS0_P66 LVDS0_N7 LVDS0_P7 LVDS0_N LVDS0_P LVDS0_P LVDS0_NP6 LVDS0_NN6 bug XEINT VCC.8V 8V_IO0P XEINT 8V_IO0N VCC.8V XEINT VCC.8V XEINT VCC.8V LVDS0_NP7 LVDS0_NN7 LVDS0_NN8 LVDS0_NP8 LVDS0_NN LVDS0_NP 8V_IO9P 8V_IO9N LVDS0_P LVDS0_N LVDS0_N LVDS0_P LVDS0_NN5 LVDS0_NP5 SW KEY_F SW5 KEY_F SW6 KEY_F SW7 KEY_F P MINI_HDMI DAT+ DAT- DAT_S DAT+ 5 DAT_S DAT- 6 DAT0+ 8 DAT0_S 0 DAT0-9 CLK+ CLK- CLK_S CBL_CEC SCL 5 SDA 6 DDC/CEC GND 7 +5V 8 HPLG 9 T 0 T T T NC 7 R5 R R TVDD DVI_CLK+ MSEN C 0V.V R.7K 5V DDC_IC_SCL IC_SCL IC_SDA VCC.8V IC_SCL C0 0V DDC_IC_SDA IC_SDA DVI_DATA DSS_D5 DSS_D0 DSS_D9 DSS_HSYNC DVI_+5v R.7K DVI_DATA0 U TFP0 tq6-0x0-0.5 PD0 6 PD 6 PD 6 PD 60 PD 59 PD5 58 PD6 55 PD7 5 PD8 5 PD9 5 PD0 5 PD 50 PD 7 PD 6 PD 5 PD5 PD6 PD7 PD8 PD9 0 PD0 9 PD 8 PD 7 PD 6 TXD0+ 5 TXD0- TXD+ 8 TXD- 7 TXD+ TXD- 0 TFADJ 9 TXC+ TXC- DK 7 DK 8 IDCK+ 57 IDCK- 56 DE VSYNC 5 HSYNC BSEL/SCL 5 DSEL/SDA HTPLG/EDGE 9 NC 9 RSVD DKEN 5 VREF TGND TGND 6 TGND 0 DVDD DVDD DGND 6 PGND 7 PVDD 8 TVDD TVDD 9 DGND 8 MSEN DK 6 ISEL/RESET PD 0 DVDD DGND 6 C 0V DVI_DATA.V TXD0- ISEL DVI_HSYNC DVI_DATA9 BSEL DSS_D TXD+ DSS_D R0.7K L8 BEAD DVI_DSEL DVI_DATA L0 BEAD C9 0V TXC- R8.7K TFADJ L9 BEAD R 0K,060 x DVI_DATA R.7K C8 0V DSS_VSYNC TVDD DSS_D7 DVI_DATA8 TXD+ t RT RXEF00 x FUSE-LITTEL_5 DVI_VSYNC DSS_PCLK+ DSS_D R7.7K TXD0+ DSS_D.V R0.7K R.7K DSS_D0 DSS_D C5 0V.V.V DVI_DATA7 C7 0V DSS_D8 DVI_DVDD DVI_DATA0 TXD-.V DVI_PVDD 0_NC DVI_DATA6 TXC+ DVI_DEN C6 0V DVI_VREF DVI_DATA5 DKEN DSS_ACBIAS TXD- HTPLG R9.7K R6 50 DVI_DATA DSS_D6 Adjusted for.9v U TXS00 (DCU) x A 5 B 8 VCCA VCCB 7 OE 6 GND A B R6.7K DDC IC Interface R.7K Internal 0K Pullups. R5.7K DK DK DK QFP6/0.5M Mini HDMI Interface Place Close to the OMAP Processor. 00Ma

13 ELS-MB500A Manual V..0 [0-0-08] 7.7. System Clock generation 회로도.V 50Mhz OSC.5V 00MhZ LVDS OSC 기본장착 (clock+, clock-) 7.8. Reset 회로 Positive Level reset 입력 ( H: Reset, L: Normal) VCCV5 C0 SW9 KEY_F R0 PWR_nRST R K 7.9. 전원회로 BUG.V FPGA CORE 공급회로 :.V 6A 전원공급.5V 이더넷공급회로 : Gbps 이더넷및.5V I/O 전원공급.V I/O 공급회로 :.V I/O 전원공급 7.0. Configuration Prom 회로 ST 사의 MP5P6 Serial Prom 을사용했다.

14 ELS-MB500A Manual V..0 [0-0-08] 8. 콘넥터설명 8.. USB Console 포트로사용됨 Pin 설명 Pin Name Number VCC USB 전원 5V 500mA USB - USB Negative Signal USB + USB Positive Signal GND Ground 8.. CN6 RJ 5 JACK Gbps 이더넷콘넥터 Pin 설명 Pin Name Number TD0_P G TX0 Positive Transmit TD0_N G TX0 Negative Transmit TD_P G TX Positive Transmit TD_N G TX Negative Transmit 5 TD_P G TX Positive Transmit 6 TD_N G TX Negative Transmit 7 TD_P G TX Positive Transmit 8 TD_N G TX Negative Transmit 8.. J9 RJ 5 JACK 0/00 bps 이더넷콘넥터 Pin 설명 Pin Name Number TD0_P TX0 Positive Transmit TD0_N TX0 Negative Transmit TD TAB 5 6 RXD TAB 7 RD0_N RX0 Negative Transmit

15 ELS-MB500A Manual V..0 [0-0-08] 8 RD0_P RX0 Negative Transmit 8.. J,CN7 DC Jack 5V (DC 입력 ) 본제품은 5V@A 아답터전원으로사용한다 J0. Xilinx Jtag Pin Number Pin Name 설명 VCC. V GND Ground TCK JTAG Clock TDO JTAG Data Out 5 TDI JTAG Data In 6 TMS JTAG Mode Set 5

16 ELS-MB500A Manual V..0 [0-0-08] 8.6. J8 UP Board 콘넥터 (.V I/O) Num I/O BANK FPGA NC P Num I/O BANK FPGA NC P LVDS_N BANK LVDS_P BANK LVDS_N5 BANK LVDS_P5 BANK 5 LVDS_N BANK 6 LVDS_P BANK 7 LVDS_N6 BANK 8 LVDS_P6 BANK 9 LVDS_N5 BANK 0 LVDS_P5 BANK LVDS_N9 BANK LVDS_P9 BANK LVDS_N7 BANK LX75,LX00 LVDS_P7 BANK LX75,LX00 5 LVDS_N BANK 6 LVDS_P BANK 7 GND 8 GND 9 LVDS_N BANK 0 LVDS_P BANK LVDS_N6 BANK LVDS_P6 BANK LVDS_N0 BANK LX75 LVDS_P0 BANK LX75 5 LVDS_N0 BANK 6 LVDS_P0 BANK 7 LVDS_N8 BANK LX75 8 LVDS_P8 BANK LX75 9 LVDS_N BANK 0 LVDS_P BANK INIT_65P BANK EXT_CLK BANK LVDS_N0 BANK LX75 LVDS_P0 BANK LX75 5 LVDS_N BANK 6 LVDS_P BANK 7 LVDS_N BANK 8 LVDS_P BANK 9 GND 0 GND LVDS_N BANK LX75 LVDS_P BANK LX75 LVDS_N BANK LVDS_P BANK 5 LVDS_N50 BANK LX75 6 LVDS_P50 BANK LX75 7 LVDS_N BANK 8 LVDS_P BANK 9 LVDS_N59 BANK LX75 50 LVDS_P59 BANK LX75 5 LVDS_N7 BANK LX75 5 LVDS_P7 BANK LX75 5 LVDS_N5 BANK 5 LVDS_P5 BANK 55 LVDS_N60 BANK LX75 56 LVDS_P60 BANK LX75 57 LVDS_N6 BANK LX75 58 LVDS_P6 BANK LX75 59 LVDS_N8 BANK 60 LVDS_P8 BANK 6 LVDS_N5 BANK LX75 6 LVDS_P5 BANK LX75 6 GND 6 GND 65 LVDS_N9 BANK 66 LVDS_P9 BANK 67 LVDS_N6 BANK 68 LVDS_P6 BANK 69 LVDS_N5 BANK LX75 70 LVDS_P5 BANK LX75 7 LVDS_N6 BANK 7 LVDS_P6 BANK 7 LVDS_N57 BANK 7 LVDS_P57 BANK 75 LVDS_N58 BANK 76 LVDS_P58 BANK 77 LVDS_N6 BANK 78 LVDS_P6 BANK 79 GND 80 GND 6

17 ELS-MB500A Manual V..0 [0-0-08] 8.7. J7 (.8V,.V I/O 선택 ) UP Board 콘넥터 B:.8V, B9:.V( 디폴트 ) Num I/O BANK FPGA NC Num I/O BANK FPGA NC VCC5 BANK0 VCC5 BANK0 LVDS0_N BANK0 LVDS0_P BANK0 5 LVDS0_N BANK0 6 LVDS0_P BANK0 7 LVDS0_N BANK0 8 LVDS0_P BANK0 9 LVDS0_N BANK0 0 LVDS0_P BANK0 LVDS0_N BANK0 LVDS0_P BANK0 LVDS0_N5 BANK0 LVDS0_P5 BANK0 5 LVDS0_N7 BANK0 6 LVDS0_P7 BANK0 7 LVDS0_N6 BANK0 8 LVDS0_P6 BANK0 9 LVDS0_N8 BANK0 0 LVDS0_P8 BANK0 LVDS0_N BANK0 LVDS0_P BANK0 LVDS0_N5 BANK0 LVDS0_P5 BANK0 5 LVDS0_N6 BANK0 6 LVDS0_P6 BANK0 7 GND 8 GND 9 LVDS0_N7 BANK0 0 LVDS0_P7 BANK0 LVDS0_N8 BANK0 LVDS0_P8 BANK0 LVDS0_N BANK0 LVDS0_P BANK0 5 LVDS0_N6 BANK0 6 LVDS0_P6 BANK0 7 LVDS_N9_.8 BANK.8V IO 8 LVDS_P9_V.8 BANK.8V IO 9 LVDS0_N BANK0 0 LVDS0_P BANK0 LVDS0_N7 BANK0 LVDS0_P7 BANK0 LVDS0_N5 BANK0 LVDS0_P5 BANK0 5 LVDS0_N BANK0 LX5,LX75 6 LVDS0_P BANK0 LX5,LX75 7 LVDS0_N BANK0 LX5 8 LVDS0_P BANK0 LX5 9 LVDS0_N8 BANK0 50 LVDS0_P8 BANK0 5 LVDS0_N5 BANK0 LX5 5 LVDS0_P5 BANK0 LX5 5 GND 5 GND 55 LVDS0_N6 BANK0 LX5 56 LVDS0_P6 BANK0 LX5 57 LVDS0_N50 BANK0 58 LVDS0_P50 BANK0 59 LVDS0_N9 BANK0 60 LVDS0_P9 BANK0 6 LVDS0_N7 BANK0 LX5 6 LVDS0_P7 BANK0 LX5 6 LVDS0_N8 BANK0 LX5 6 LVDS0_P8 BANK0 LX5 65 LVDS0_N5 BANK0 66 LVDS0_P5 BANK0 67 LVDS_N0_.8 BANK.8V IO 68 LVDS_P0_.8V BANK.8V IO 69 LVDS0_N6 BANK0 70 LVDS0_P6 BANK0 7 LVDS0_N6 BANK0 7 LVDS0_P6 BANK0 7 LVDS0_N6 BANK0 7 LVDS0_P6 BANK0 75 LVDS0_N66 BANK0 76 LVDS0_P66 BANK0 77 LVDS0_N65 BANK0 78 LVDS0_P65 BANK0 79 GND 80 GND 7

18 ELS-MB500A Manual V..0 [0-0-08] 8.8. BEAD : BANK0 전원선택스위치 (개중 개만선택한다.) 디폴트.V Num I/O BANK VCC.V +.V.8V +.8V 8.9. P. MINI HDMI 콘넥터 ( TMDS.V I/O) Pin 설명 Pin Name Number DATA Ground TMDS GROUND DATA+ TMDS DATA PLUS DATA- TMDS DATA MINUS DATA Ground TMDS GROUND 5 DATA+ TMDS DATA PLUS 6 DATA- TMDS DATA MINUS 7 DDC/CEC GROUND DDC RETURN GROUND 8 DATA0+ TMDS DATA0 PLUS 9 DATA0- TMDS DATA0 MINUS 0 DATA0 GROUND TMDS GROUND CLK+ TMDS CLOCK PLUS CLK- TMDS CLOCK MINUS CLK GROUND TMDS CLOCK GROUND CBL/CEC CEC 5 DDC SCL DDC CLOCK 6 DDC SDA DDC DATA 7 NC NC 8 DVI +5V DVI OUT 5V 9 HPLG HOT PLUG 8

19 ELS-MB500A Manual V..0 [0-0-08] 8.0. Xilinx Tool 을이용한 FPGA 내용변경하기 jtag tool을이용하여 FPGA 내용을사용자 logic으로변경할수있다 Bit File을만들기아래그림에서 Generate Programming File를더블클릭하면 Synthesis -> Implement -> Bitfile 생성이되며, 개발시필요한 bit file이생성된다 PROM FILE 만들기 Configure Taget Device -> Generate Target PROM/ACE File 을클릭한다. 9

20 ELS-MB500A Manual V..0 [0-0-08] ISE IMPACT 프로그램이실행된다. 여기서 Create PROM File Formatter 를클릭한다. Configure Single FPGA -> -> Auto Select PROM -> 순으로클릭한다. Output File Name : 생성될 file 이름 Output File Location : bit file 위치한디렉토리 0

21 ELS-MB500A Manual V..0 [0-0-08] 하단에 OK 을클릭한다. OK 을누르면 Bitfile 에서생성된 file 을 load 한다. 또다른 device Add 을할창이띄면 No 한다 -> 다음은 OK 을누른다. Geneare File 을실행한다. 여기서사용자 mcs 파일이생성되었다.

22 ELS-MB500A Manual V..0 [0-0-08] 생성된 Bit,mcs File 다운로드하기 J 콘넥터순서 : VCC, GND, TCK,TDI.TDO, TMS Flashlink보드와 usb jtag, 제공된프린터 jtag tool 을연결한다. Usb cable을연결한다. Boundary Scan을클릭한다. 마우스우측 button 을누른후 Initialize Chain 을클릭한다.

23 ELS-MB500A Manual V..0 [0-0-08] 클릭하면우측에 XILINX IC 모양과 SPI/BPI 창이뜬다. SPI/BPI 을클릭한다. 클릭하면위에서생성된 *.MCS 파일을 LOAD 한다. MB500A 보드에 MP5P6, MP5P6 가실장되어있어서이것을선택한다. 녹색으로표시된 FLASH ICON 을클릭한다. 다음에 Program 을선택하여 Write 을진행하며 Wirte 가완료시성공메시지가표시된다.

24 ELS-MB500A Manual V..0 [0-0-08]

25 ELS-MB500A Manual V..0 [0-0-08] 9. Example Project 9.. 개의 LED와 DIP Switch 사용예제 상태표시 LED,LED,LED,LED Option를설정하기위한 DIP SWICH SW,SW,SW,SW 예제소스 : 제공된프로젝트를 led blink open 한다. 5

26 ELS-MB500A Manual V..0 [0-0-08] module ledtest_top 9.. ( 9.5. sys_clk_pin, 9.6. sys_rst_pin_i, 9.7. leds 9.8. ); 9.9. // 9.0. parameter CLOCK_FREQ = ; // 50 Mhz parameter ONE_SECOND = CLOCK_FREQ; // second 9.. parameter HALF_SECOND = ONE_SECOND / ; // / second 9.. parameter ONE_MILLI_SECOND = ONE_SECOND / 000; // One msec // port 9.7. input sys_clk_pin; 9.8. input sys_rst_pin_i; 9.9. output [:0] leds; wire sys_rst_pin; assign sys_rst_pin = sys_rst_pin_i; 9.5. // DCM Clock Generating 9.6. wire dcm_clk_w; // buffered clk 9.7. wire dcm_clk_half_w; // / buffered clk clk_dcm Inst_clk_dcm 9.0. ( 9...clkin_in (sys_clk_pin), 9...rst_in (sys_rst_pin), 9...clkdv_out (dcm_clk_half_w), 6

27 ELS-MB500A Manual V..0 [0-0-08] 9...clkin_ibufg_out (CLKIN_IBUFG_OUT), 9.5..clk0_out (dcm_clk_w), 9.6..locked_out (LOCKED_OUT) 9.7. ); // Led 9.. //assign dcm_clk_out = dcm_clk_w; 9.. reg dir_r; // 0 : left, : right 9.. reg [7:0] leds_r; 9.. reg [:0] led_count_r; reg [:0] count_r; 9.7. /* 9.8. always@(posedge sys_clk_pin ) begin 9.9. if (sys_rst_pin) begin count_r <= 0; 9.5. end 9.5. else 9.5. count_r <= count_r + ; end */ always@(posedge sys_rst_pin or posedge dcm_clk_w) begin //always@(posedge sys_clk_pin ) begin 9.6. if (sys_rst_pin) begin 9.6. dir_r <= 0; 9.6. leds_r <= 8'b ; 9.6. led_count_r <= ; count_r <= 0; end else begin //count_r <= count_r + ;

28 ELS-MB500A Manual V..0 [0-0-08] if ( count_r >= ONE_MILLI_SECOND * 'b)begin //dip_sw[6:0] ) begin 9.7. led_count_r <= led_count_r + ; if (dir_r == 'b0) 9.7. leds_r <= leds_r << ; else leds_r <= leds_r >> ; count_r <= 0; end else count_r <= count_r + ; if (led_count_r == 'b000) begin 9.8. dir_r <= ~dir_r; 9.8. led_count_r <= ; end end end // 0 : On, : Off assign leds[:0] = ~leds_r[:0]; 9.9. //assign leds[:0] = ~count_r[5:]; 9.9. //assign leds[:0] = {sys_clk_pin,sys_rst_pin_i,sys_clk_pin,sys_rst_pin_i}; NET sys_clk_pin LOC="Y" IOSTANDARD=LVCMOS; # 50Mhz //NET sys_rst_pin_i LOC="H8" SLEW=SLOW IOSTANDARD=LVCMOS5; NET sys_rst_pin_i LOC="L7" SLEW=SLOW IOSTANDARD=LVCMOS8; NET leds<0> LOC="AB0"; NET leds<> LOC="AA0"; NET leds<> LOC="U"; NET leds<> LOC="U"; #NET dip_sw<0> #NET dip_sw<> #NET dip_sw<> #NET dip_sw<> #NET dip_sw<> #NET dip_sw<5> LOC=M; LOC=M; LOC=M; LOC=M; LOC=L; LOC=L; 8

29 ELS-MB500A Manual V..0 [0-0-08] #NET dip_sw<6> LOC=L; #NET dip_sw<7> LOC=L6; #NET dcm_clk_out LOC=C; ; 위프로젝트를임플리먼트를실행후 bit File을다운로드한다. 그러면 LED가깜박이는것을볼수있다 SB60프로젝트실습하기 Windows응용프로그램설치하기 ( 아래프로그램은 XP에서만지원됨 WIN7은지원되지않음 ) d: \project\mb500a\sp60_brd_application\baserefdisetup_0_6.msi 이파일을설치한다. 설치후실행하면아래와같다. 9

30 ELS-MB500A Manual V..0 [0-0-08] SP60 프로젝트컴파일및 bit 파일만들기 d:\project\mb500a\dsp8a\dsp8a.xise를더블클릭한다. 임플리먼트를실행하고 bit, MCS파일을생성한다. 생성된 BIT파일을다운로드한다. G BIT 이더넷통신모드로동작을한다. 위에빨강색으로표시된 Not connected FPGA 메시지가 connected FPGA로바뀐다. Select Image에서영상처리해야할이미지파일을선택한다. 아래메뉴에 show display를클릭한다. 설치된 os에따라이미지가표시되지않을수있다. 이경우에는영문 windows XP를설치하여테스트하면된다. 이미지실행모두가 AUTO 와 Manual를선택하면서실행하면된다 DVI 모니터로출력하기 (SUB BOARD ) 단 ) DVI서브보드를구입한보드에한함 (SB600), 또는 MB500A MB500A보드에 HDMI 케이블을연결한다. 연결시영상처리결과를모니터로통해서볼수있다. 0. EDK 활용 0.. EDK 사용법은 CD 에제공된 Xilinx_Embedded_Processor.pdf 파일을참조합니다. 제공된 C/D 에서 D:\sale_project\LOGIC_PROGRAM\edk_LWIP 5\system.xmp 를더 블클릭하면위와같이프로젝트가 OPEN 됩니다. 0

31 ELS-MB500A Manual V..0 [0-0-08] 위예제는메모리테스트프로젝트입니다. 여기에사용자로직및응용프로그램을코 딩하여사용하면됩니다.

Product Manual

Product Manual Product Manual RASPGA EVM (Xilinx FPGA XC6LX16-CFG324 - EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM607-1, Digital Empire, #685,Gasan-dong, 서울특별시금천구가산동 568번지 Geumcheon-gu Seoul, Korea. (Zip: 150-023)

More information

Product Manual

Product Manual Product Manual FANDA (Flexiable And Adaptive) - FA (Xilinx FPGA XCA00T - EVM 보드 ) Embedded and Logic Solution elogics 이로직스 RM0-, Digital Empire, #,Gasan-dong, 서울특별시금천구가산동 번지 Geumcheon-gu Seoul, Korea.

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

DakgongXF 는이루고자하는어플리케이션의요구조건을충분히만족하고필요한연결성과구성 요소를갖추어서사용자호스트환경에적합한높은프로세싱파워를갖는저렴한사물간통신 솔루션이다. 그림 1 : DakgongXF 평면도

DakgongXF 는이루고자하는어플리케이션의요구조건을충분히만족하고필요한연결성과구성 요소를갖추어서사용자호스트환경에적합한높은프로세싱파워를갖는저렴한사물간통신 솔루션이다. 그림 1 : DakgongXF 평면도 DakgongXF 사용설명서 1. 목적사물간통신 Internet of Things 정의는인터넷또는통신수단을통하여센서를통하여사물을모니터링하고구동기로서사물을제어하는것이다. 또한클라우드를통한인터넷기반의통신을하기위해서는컨트롤러모듈에여러가지서버의설치가요구되고이러한서버를모듈내에서실행할수있는마이크로프로세서가필요하다. 따라서프로세서의외부장치인터페이스기능을 FPGA 에맡기고마이크로프로세서를

More information

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074>

<4D F736F F F696E74202D20454D43BCB3B0E8B4EBC3A5BBE7B7CA2828C1D629B8B6B7E7C0CEC6F75FBDC5C1D6C8A3292E707074> 제품에대한 EMC 설계 대책사례 마루인포 신주호선임 2009. 5. 29 목차 1. Network Camera System 2. Navigation 2-1. PND (Portable Navigation Device) 2-2. AVN (Audio Video Navigation) 2 1. Network Camera System 1. U-City 주차관리시스템 그림

More information

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

ez-md+_manual01

ez-md+_manual01 ez-md+ HDMI/SDI Cross Converter with Audio Mux/Demux Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

ez-shv manual

ez-shv manual ez-shv+ SDI to HDMI Converter with Display and Scaler Operation manual REVISION NUMBER: 1.0.0 DISTRIBUTION DATE: NOVEMBER. 2018 저작권 알림 Copyright 2006~2018 LUMANTEK Co., Ltd. All Rights Reserved 루먼텍 사에서

More information

MR-3000A-MAN.hwp

MR-3000A-MAN.hwp ITS Field Emulator for Traffic Local Controller [ MR-3000A ] User's Manual MORU Industrial Systems. www.moru.com - 1 - 1. 개요 MR-3000A는교통관제시스템에있어서현장용교통신호제어기의개발, 신호제어알고리즘의개발및검증, 교통신호제어기생산 LINE에서의자체검사수단등으로활용될수있도록개발된물리적모의시험장치이다.

More information

Microsoft Word - SMB-63-2_KR_.doc

Microsoft Word - SMB-63-2_KR_.doc 보충 설명서 압소덱스 AX9000TS/TH-U3 (PROFIBUS-DP 사양) SMB-63K-2 머리글 이번에 당사의 압소덱스를 선정해 주셔서 대단히 감사합니다. 압소덱스는 일반 산업용 조립 기계나 검사 기계 등의 간헐 작동 턴테이블 등을 유연하고 정밀도 높게 구동하기 위해 개발된 다이렉트 드라이브 인덱 스 유닛입니다. 본 설명서는 압소덱스 AX9000TS/TH(PROFIBUS-DP

More information

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론 강 AVR bard & dwlad cable 2009 년도 학기 A V R 을시작하며 AVR bard Pi cfigurati 64 개의핀으로구성 Vcc(2) Avcc() GND(3) 입출력핀 (8*6+5) Clck, reset, Aref, PEN 한개의핀이두개이상의기능을수행하기도한다. Pi cfigurati I/O PORT A~G 의 7 개의 prt 중 A~E

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 400FP-2 460FP-2 400FPn-2 460FPn-2 ii Floor standing type) Note LCD Display MagicInfo Software CD MagicInfo Manual CD (FPn-2.) (AAA X 2) (FPn-2.) BNC to RCA (46.) D-Sub DVI

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 320TSn-2 ii Floor standing type) LCD Display D-Sub AAA X 2) 8 DVI KIT LAN TV Note TV MENU MENU] 9 ENTER ENTER] SOURCE SOURCE] [PC DVI HDMI MagicInfo] TV TV D.MENU D.MENU TV

More information

Microsoft Word - AVRISP mkII 장비 운용.doc

Microsoft Word - AVRISP mkII 장비 운용.doc AVRISP mkii 장비운용 기술연구소이진용대리 ( jylee@mamiel.com ) 1. AVRISP mkⅡ 개요 AVRISP mkⅡ 장비운용 1) AVRISP mkⅡ란? 기존의 AVRISP의단점을보충해서 Atmel에서새롭게출시된 ISP 장비이다기존에 AVRISP는전원을 Target System에서공급을받아야했기에사용하기에불편한점이많았지만이번에새롭게출시된

More information

PLC Robot Starter Quick Guide

PLC Robot Starter Quick Guide KOR V1.3 PLC Robot Starter Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features AltPLC BeagleBone Processor - TI Sitara AM3358/3359-1 GHz ARM Cortex-A8-32 Bit RISC Processor,

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

amazon-ii_stk_header_130704_162

amazon-ii_stk_header_130704_162 GP_[0..] VP LE GREEN 0_led GP_[0..] K-%60_resR GP_[0..] GP_[0..] GP_[0..] GP_[0..] GP0_[0..] GP_[0..] GP_[0..] GP_[0..] GP0_0 GP0_ GP0_ GP0_ GP0_ GP0_ GP0_6 GP0_ GP_0 GP_ GP_ GP_ GP_ GP_ GP_6

More information

Flute-GR_BV199_DOS.indb

Flute-GR_BV199_DOS.indb 안전을 위한 주의사항 사용자의 안전을 지키고 재산상의 손해 등을 막기 위한 내용입니다. 반드시 읽고 올바르게 사용해 주세요. BV-199 사용설명서 차례 1 장. 컴퓨터 시작 차례 3 제품의 특장점 6 사용설명서를 읽기 전에 7 안전을 위한 주의사항 10 사용시 올바른 자세 20 제품의 구성물 23 기본 구성물 23 각 부분의 명칭 24 앞면 24 뒷면 25

More information

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu

CONTENTS 1. Approval Revision Record Scope Numbering of product Product Part No Lot. No Absolu WISOL / SFM11R2D P/N: DATA SHEET Rev.01 WISOL 531-7, Gajang-ro,Osan-si,Gyeonggi-do Rep. of Korea http://www.wisol.co.kr CONTENTS 1. Approval Revision Record... 3 2. Scope... 4 3. Numbering of product...

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

NERO_M128_V10.opj

NERO_M128_V10.opj SW 0 R 0R ISP Port REF 0.uF PE P R 0K 0.uF R 0R 0.uF JP HEER/X 0.uF X pf PF PF PF PF PF PF PF REF TK TMS TO TI PE PE PE PE PE PE PE P0 P P P P P P P Y MHz X pf JTG Port IR_FREQ IR_OUT 0 0 0 TK TO TMS TI

More information

슬라이드 1

슬라이드 1 사용 전에 사용자 주의 사항을 반드시 읽고 정확하게 지켜주시기 바랍니다. 사용설명서의 구성품 형상과 색상은 실제와 다를 수 있습니다. 사용설명서의 내용은 제품의 소프트웨어 버전이나 통신 사업자의 사정에 따라 다를 수 있습니다. 본 사용설명서는 저작권법에 의해 보호를 받고 있습니다. 본 사용설명서는 주식회사 블루버드소프트에서 제작한 것으로 편집 오류, 정보 누락

More information

hd1300_k_v1r2_Final_.PDF

hd1300_k_v1r2_Final_.PDF Starter's Kit for HelloDevice 1300 Version 11 1 2 1 2 3 31 32 33 34 35 36 4 41 42 43 5 51 52 6 61 62 Appendix A (cross-over) IP 3 Starter's Kit for HelloDevice 1300 1 HelloDevice 1300 Starter's Kit HelloDevice

More information

Microsoft Word - MV210_CPUSpec.doc

Microsoft Word - MV210_CPUSpec.doc Hardware Specification Brief 마이크로비젼 / Microvision 서울특별시구로구구로 3 동 235 번지한신 IT 타워 1004 호 ( 전화 ) 02-3283-0101, ( 팩스 ) 02-3283-0160 (Web) http://www.microvision.co.kr Copyright 2011 Microvision 1 Contents

More information

Microsoft Word - Installation and User Manual_CMD V2.2_.doc

Microsoft Word - Installation and User Manual_CMD V2.2_.doc CARDMATIC CMD INSTALLATION MANUAL 씨앤에이씨스템(C&A SYSTEM Co., Ltd.) 본사 : 서울특별시 용산구 신계동 24-1(금양빌딩 2층) TEL. (02)718-2386( 代 ) FAX. (02) 701-2966 공장/연구소 : 경기도 고양시 일산동구 백석동 1141-2 유니테크빌 324호 TEL. (031)907-1386

More information

Microsoft Word - AVR Dragon.doc

Microsoft Word - AVR Dragon.doc 기술연구소이진용대리 ( jylee@mamiel.com ) 목차 1. Introducing AVR Dragon 2. AVR Dragon 을사용하기 3. Unpacking the AVR Dragon 4. Software and USB Setup 5. Board Description ------- (1) Header Pin mounted area ------- (2)

More information

CL100B_manual_kor_m.0.2.indd

CL100B_manual_kor_m.0.2.indd ULTIMATE SAMRT CAR BLACK BOX BLACKSYS CL-100B USER MANUAL 2CH Full HD Car DVR with brilliant image Simultaneous recording of front with Full HD resolution (1920x1080, 25fps) and rearview with HD resolution

More information

VZ94-한글매뉴얼

VZ94-한글매뉴얼 KOREAN / KOREAN VZ9-4 #1 #2 #3 IR #4 #5 #6 #7 ( ) #8 #9 #10 #11 IR ( ) #12 #13 IR ( ) #14 ( ) #15 #16 #17 (#6) #18 HDMI #19 RGB #20 HDMI-1 #21 HDMI-2 #22 #23 #24 USB (WLAN ) #25 USB ( ) #26 USB ( ) #27

More information

목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15.

목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15. 사설명서 http://www.innopix.kr 목 차 1. 안전을 위한 주의사항 2. 사 전에 2-1. 제품 특징 2-2. 제품 구성 2-3. 각 부분의 명칭 2 4 5 6 7 3-11. 전 뷰어 / 뷰어 설정 3-12. 전 뷰어 / 환경 설정 3-13. 환경설정 본 값 3-14. 재생방법 (블랙박스) 3-15. 재생방법 (일반 동영상 플레이어) 3-16.

More information

Implementation of FreeRTOS on LM3S811

Implementation of FreeRTOS on LM3S811 minibee SEMILAB Coordinator Router End Device 로직테크 USB Dongle LM2455 Module & Extension Board RP-M100/M110/MR220/MR500 Module & Extension Board 2015-02-02 www.logictech.kr 1 Revision History Version Date

More information

RealDSP UT 프로그램 메뉴얼

RealDSP UT 프로그램 메뉴얼 Motorola Programmer ( 모델명 : MDProg16) 사용설명서 UUU 리얼시스 (RealSYS) Web: www.realsys.co.kr Tel: 031-420-4326 Fax: 031-420-4329-1 - 1. Motorola Programmer 프로그램특징 A. JTAG & OnCE 기능을이용한 Motorola 의내부플래시메모리 Writing

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

Microsoft PowerPoint - SY-A3PSK-V1.pptx

Microsoft PowerPoint - SY-A3PSK-V1.pptx SY-A3PSK -V1.0 Low power Single chip, single voltage Nonvolatile, Reprogrammable Live at Power-up Live at Power up Maximum design security Firm-error immune Clock management Advanced I/O standards User

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

cam_IG.book

cam_IG.book 설치 안내서 AXIS P3301 고정형 돔 네트워크 카메라 AXIS P3301-V 고정형 돔 네트워크 카메라 한국어 AXIS P3304 고정형 돔 네트워크 카메라 AXIS P3304-V 고정형 돔 네트워크 카메라 문서 정보 본 문서에는 사용자 네트워크에 AXIS P3301/P3304 고정형 돔 네트워크 카메라를 설치하는 방법에 대 한 지침이 포함되어 있습니다.

More information

This page left blank intentionally

This page left blank intentionally User s Manual Manuel d utilisation Bedienungsanleitung Manual de usuario Manuale d'istruzioni Gebruiksaanwijzing Instruções do Proprietário Bruksanvisningens Руководство пользователя Regulatory models:

More information

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우.

목차 윈도우드라이버 1. 매뉴얼안내 운영체제 (OS) 환경 윈도우드라이버준비 윈도우드라이버설치 Windows XP/Server 2003 에서설치 Serial 또는 Parallel 포트의경우. 소프트웨어매뉴얼 윈도우드라이버 Rev. 3.03 SLP-TX220 / TX223 SLP-TX420 / TX423 SLP-TX400 / TX403 SLP-DX220 / DX223 SLP-DX420 / DX423 SLP-DL410 / DL413 SLP-T400 / T403 SLP-T400R / T403R SLP-D220 / D223 SLP-D420 / D423

More information

User Guide

User Guide 하드웨어 참조 설명서 HP RP2 소매 시스템 Copyright 2014 Hewlett-Packard Development Company, L.P. Microsoft 와 Windows 는 Microsoft 그룹의 미 국 등록 상표입니다. 본 설명서의 내용은 사전 통지 없이 변경될 수 있습니다. HP 제품 및 서비스에 대한 유일한 보증은 제품 및 서비스와 함께

More information

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드]

Microsoft PowerPoint - STM32_LCD보드.ppt [호환 모드] 제품명 : STM_LCD 보드 STM_LCD 보드는 STMF0 Cortex-M 를처음사용하시는분들께편리한개발환경을제공합니다. 다양한통신커넥터를구비하고있어서특히산업용제품을개발하시고자하는분께좋은 Prototype을제공합니다. 부트보드특징 : 선택 STMF0RBT 스위치 SPI 통신 개 RS 통신 개 RS 통신 IC 통신 C 확장 Data Flash: DB 입출력

More information

슬라이드 제목 없음

슬라이드 제목 없음 ETOS-DPS-X Guide AC&T SYSTEM 1 ETOS-DPS-X 개요 ETOS-DPS-X Field Bus Network 중 Profibus-DP Network 에연결되는장비. ProfiBus-DP Network 시스템에 DP 통신을지원하지않는현장장비에대한통신서버기능구현. Profibus-DP Slave 동작하기때문에반드시 DP-Master 모듈이있는시스템에서적용가능.

More information

DSP_MON 프로그램 메뉴얼

DSP_MON 프로그램 메뉴얼 UART_CAN Analyzer 윈도우 프로그램 사용자 메뉴얼 리얼시스 TEL : 031-420-4326 FAX : 031-420-4329 주소 : 경기도 안양시 동안구 관양동 799 안양메가밸리 319호 - 1 - UART_CAN Analyzer 제품을 구입해 주셔서 감사합니다. 본 제품을 구입하신 고객께서는 먼저 사용 설명서를 잘 읽어 보시고 제품을 사용하여

More information

<4D F736F F F696E74202D20325FBEC6B5CEC0CCB3EB20B1E2C3CABDC3C7E82E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20325FBEC6B5CEC0CCB3EB20B1E2C3CABDC3C7E82E BC8A3C8AF20B8F0B5E55D> 아두이노사용해보기 http://arduino.cc/ 에서먼저프로그램을다운로드하여설치합니다. 시작이반이다 라는옛말이있죠? MCU 사용에있어서도개발환경구축하고, LED 하나깜박여보면절반은끝난거나마찬가지죠. 뭐 ~ 일단 http://arduino.cc/ 에방문하여 Download 를누르고해당 OS ( 보통 Windows를사용하시죠?) 용을압축파일을다운로드 ( 용량이

More information

USER Manual

USER Manual KOR V1.2 EPIC-QM77 PLC Quick Guide Web: www.altsoft.kr E-mail: altsoft@altsoft.kr Tel: 02-547-2344 Features Processor - Intel 3rd Core i7-3555le/celeron 847E Memory - 204-pin SODIMM DDR3 1333/1600MHz,

More information

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 -------------

Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------ 6 ------------- [ CALLAS Network Player ] Owner s Manual ( 주 ) 금 잔 디 음 향 예.술.을.담.는.스.피.커.과.학 Contents I. 칼라스 네트워크 플레이어란 1. Pc-Fi를 넘어서 발전한 차세대 음악 플레이어 ---------------- 4 2. 칼라스 네트워크 플레이어의 장점 3. 시스템 기본 구성 ------------------------

More information

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오.

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오. 메 뉴 다음 사항을 꼭 확인하세요! --------------------------------- 2p 안전을 위한 주의 사항 --------------------------------- 3p 구성품 --------------------------------- 4p 각 부분의 명칭 --------------------------------- 5p 제품의 규격

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

. "" "",.... :...,,....,.. :..,,,..,,...,.... 2

.  ,.... :...,,....,.. :..,,,..,,...,.... 2 RD-5405 /.. . "" "",.... :...,,....,.. :..,,,..,,...,.... 2 ..,,..,.. (,,,, )......,...,., ( ),,,,.,. (, )..,...... BD/DVD CD TV, VCR,........ (+, -).,,..... 3 ... 2... 3....3... 5... 9... 10...11...11...

More information

Microsoft Word - FS_ZigBee_Manual_V1.3.docx

Microsoft Word - FS_ZigBee_Manual_V1.3.docx FirmSYS Zigbee etworks Kit User Manual FS-ZK500 Rev. 2008/05 Page 1 of 26 Version 1.3 목 차 1. 제품구성... 3 2. 개요... 4 3. 네트워크 설명... 5 4. 호스트/노드 설명... 6 네트워크 구성... 6 5. 모바일 태그 설명... 8 6. 프로토콜 설명... 9 프로토콜 목록...

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

Product Brief Manual

Product Brief Manual Product Brief Manual ELS-6XM Motion Sensor Processing Unit Embedded and Logic Solution elogics 이로직스 Rm607-1,DigitalEmpire,#685Gasandong,Geumcheon-gu 디지털엠파이어 607-1호 ( 우 : 153-023) 서울특별시금천구가산동 685 Seoul,

More information

LCD Monitor

LCD Monitor LCD MONITOR quick start guide 2443BW 2443BWX ii 제품 설명 제품 구성 구성품이 모두 들어 있는지 확인한 후 누락된 제품이 있으면 구입한 대리점으로 연락해 주 세요. 구성품 이외의 별매품을 구입하려면 서비스 센터로 연락하세요. 구성품 선택 사양1 선택 사양2 모니터 & 심플 스탠드 모니터 & 심플 스탠드 심플 스탠드의 경우

More information

<BFC0B7A3C1F6C4B72DBBE7BFEBC0DABCB3B8EDBCAD5FC8AEC0E5BABB28343070C7D1B1DB295F32303133303631312E6169>

<BFC0B7A3C1F6C4B72DBBE7BFEBC0DABCB3B8EDBCAD5FC8AEC0E5BABB28343070C7D1B1DB295F32303133303631312E6169> SMART CAM 내 손안의 스마트 CCTV Orange Box 400/800 사용자 설명서 Part.01 시작에 앞서 일러두기 본 설명서를 분실하였을 경우 http://myorangecam.com 에서 설명서를 다운받아서 다시 보실 수 있습니다. (PDF 문서는 Adobe Reader 가 PC에 설치되 있어야 하며 http://kr.adobe.com 에서

More information

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN)

DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT A (MN) DDX4038BT DDX4038BTM DDX4038 DDX4038M 2010 Kenwood Corporation All Rights Reserved. LVT2201-002A (MN) 2 3 [ ] CLASS 1 LASER PRODUCT 4 1 2 Language AV Input R-CAM Interrupt Panel Color Preout

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

PD-659_SM(new)

PD-659_SM(new) Power Distributor PD-659 CONTENTS Specifications... 1 Electrical Parts List... 2 Top and Bottom View of P.C. Board... 5 Wiring Diagram... 7 Block Diagram... 8 Schematic Diagram... 9 Exploded View of Cabinet

More information

YD-3533.xls

YD-3533.xls Y D - 3 5 3 3 사 용 설 명 서 78, Daechun-Dong, Dalseo-gu, Daegu, KOREA TEL : +8-53-585-56(Main) FAX : +8-53-585-788 http://www.setech.co.kr e-mail : setech@setech.co.kr 페이지 . 특징 당사의 제품을 사용하여 주셨어 감사하며, 사용중 혹시라도

More information

XJ-A142_XJ-A147_XJ-A242_XJ-A247_XJ-A252_XJ-A257_XJ-M141_XJ-M146_XJ-M151_XJ-M156_XJ-M241_XJ-M246_XJ-M251_XJ-M256

XJ-A142_XJ-A147_XJ-A242_XJ-A247_XJ-A252_XJ-A257_XJ-M141_XJ-M146_XJ-M151_XJ-M156_XJ-M241_XJ-M246_XJ-M251_XJ-M256 데이터 프로젝터 XJ-A 시리즈 XJ-A142/XJ-A147* XJ-A242/XJ-A247* XJ-A252/XJ-A257* XJ-M 시리즈 XJ-M141/XJ-M146* XJ-M151/XJ-M156* XJ-M241/XJ-M246* XJ-M251/XJ-M256* *USB 모델 KO 사용설명서 본 설명서에서 XJ-A 시리즈 및 XJ-M 시리즈 는 위에 나열된 특정

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

歯동작원리.PDF

歯동작원리.PDF UPS System 1 UPS UPS, Converter,,, Maintenance Bypass Switch 5 DC Converter DC, DC, Rectifier / Charger Converter DC, /, Filter Trouble, Maintenance Bypass Switch UPS Trouble, 2 UPS 1) UPS UPS 100W KVA

More information

歯Cablexpert제안서.PDF

歯Cablexpert제안서.PDF : CableXpert TM TEL:02-576-0471 / E-mail : lab@dakos.net 1. (CableXpert TM :CME1100 ) (PSTN) 100,. (CableXpert TM ).,,.... : : 324-1 2 : 02-576-0471 : 02-576-0474 : (E-mail : jangpo@dakos.net) 3. 1) S/W

More information

LCD Display

LCD Display LCD Display SyncMaster 460DRn, 460DR VCR DVD DTV HDMI DVI to HDMI LAN USB (MDC: Multiple Display Control) PC. PC RS-232C. PC (Serial port) (Serial port) RS-232C.. > > Multiple Display

More information

CZ-KETI-IOTG200

CZ-KETI-IOTG200 CZ-KETI-IOTG200 Hardware Manual http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - jEMB11_kor.docx

Microsoft Word - jEMB11_kor.docx TO : Jinyoung Contech Co., Ltd. #501, 222-12 MARIOTOWER, GURO3-DONG, GURO-KU, SEOUL, KOREA TEL : 82-2-890-6400 FAX : 82-2-890-6406 HOME PAGE : http://www.jyct.com/ Issued Date : 2011-08-23 Page : 17 Pages

More information

TEL: 042-863-8301~3 FAX: 042-863-8304 5 6 6 6 6 7 7 8 8 9 9 10 10 10 10 10 11 12 12 12 13 14 15 14 16 17 17 18 1 8 9 15 1 8 9 15 9. REMOTE 9.1 Remote Mode 1) CH Remote Flow Set 0 2) GMate2000A

More information

CPX-E-SYS_BES_C_ _ k1

CPX-E-SYS_BES_C_ _ k1 CPX-E 8727 27-7 [875294] CPX-E-SYS-KO CODESYS, PI PROFIBUS PROFINET (). :, 2 Festo CPX-E-SYS-KO 27-7 ... 5.... 5.2... 5.3... 5.4... 5.5... 5 2... 6 2.... 6 2..... 6 2..2 CPX-E... 7 2..3 CPX-E... 9 2..4...

More information

1

1 - - - Data Sheet Copyright2002, SystemBase Co, Ltd - 1 - A0 A1 A2 CS0#, CS1# CS2#, CS3# CTS0#, CTS1# CTS2, CTS3# D7~D3, D2~D0 DCD0#, DCD1# DCD2#, DCD3# DSR0#, DSR1# DSR2#, DSR3# DTR0#, DTR1# DTR2#, DTR3#

More information

(30) 우선권주장 61/360,436 2010년06월30일 미국(US) 61/446,027 2011년02월23일 미국(US) - 2 -

(30) 우선권주장 61/360,436 2010년06월30일 미국(US) 61/446,027 2011년02월23일 미국(US) - 2 - (19) 대한민국특허청(KR) (12) 공개특허공보(A) (51) 국제특허분류(Int. Cl.) G06F 1/06 (2006.01) (21) 출원번호 10-2014-7011289(분할) (22) 출원일자(국제) 2011년06월30일 심사청구일자 없음 (62) 원출원 특허 10-2012-7032475 원출원일자(국제) 심사청구일자 2012년12월12일 (85)

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

디지털공학 5판 7-8장

디지털공학 5판 7-8장 Flip-Flops c h a p t e r 07 7.1 7.2 7.3 7.4 7.5 7.6 7.7 7.8 7.9 7.10 7.11 292 flip flop Q Q Q 1 Q 0 set ON preset Q 0 Q 1 resetoff clear Q Q 1 2 SET RESET SET RESET 7 1 crossednand SET RESET SET RESET

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

전자교탁 사양서.hwp

전자교탁 사양서.hwp 사 양 서 품 목 단 위 수량 SYSTEM CONSOLE EA 32 - 사용자에 따른 타블렛 모니터 저소음 전동 각도 조절기능이 내장된 교탁 - 교탁 상/하부 별도의 조립이 필요 없는 일체형(All in One type) CONSOLE - 상판에 리미트 센서를 부착하여 장비 및 시스템의 안정성 강화 - 금형으로 제작, 슬림하고 견고하며 마감이 깔끔한 미래지향적

More information

온라인등록용 메뉴얼

온라인등록용 메뉴얼 WIZPLAT Corporation User Manual Gigabit LAN Port + USB3.0 HUB 사용자 설명서 이번에는 Gigabit LAN Port + USB3.0 HUB 를 구입해 주셔서 대단히 감사합니다. 이 사용설명서에는 중요한 주의 사항과 제품의 취급방법이 설명되어 있습니다. 사용하기 전에 설명서를 잘 읽어 보신 후 본 제품을 바르고

More information

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료

CONTENTS 목차 1. 전원 및 설치시 주의사항 2 2. 시스템 사용시 바른 자세 4 3. 시스템 구성품 확인 5 슬림형 케이스1 6 슬림형 케이스2 7 타워형 케이스1 8 타워형 케이스2 9 일체형 케이스1 10 망분리형 케이스1 11 4. 시스템 시작 및 종료 오리온 알토는 Windows 7을 권장합니다. DESKTOP PC 이 기기는 가정용(B급)으로 전자파적합기기로서 주로 가정에서 사용하는 것을 목적으로 하며, 모든 지역에서 사용할 수 있습니다. * 제품 연결 및 작동 등 올바른 사용을 위해서 이 설명서를 주의 깊게 읽어 주시기 바랍니다. 또한, 향후 사용을 위해서 매뉴얼을 보관하여 주십시오. * 본 이미지는

More information

C# 언어 사양

C# 언어 사양 Chapter 1. Microblaze and DDR3 Microblaze 을사용한임베디드시스템을구현할경우메모리콘트롤러가필요한경우가 많이있습니다. DDR2, 3 메모리의경우에는동작속도가너무높다보니일반적으로 RTL 로메모리 콘트롤러를구현하지않습니다. 이때사용하는툴이 MIG 라는툴인데 MIG 는메모리콘트롤러가매우높은동작 주파수에서도제대로동작할수있도록메모리콘트롤러를만들어줍니다.

More information

차례 1. 채널 메뉴 채널 정보 보기... 4 채널 메뉴 사용하기... 4 선호 채널 설정하기... 7 채널 기억하기... 7 기타 기능... 8 2. 기본 기능 화면 모드 변경하기... 9 화면 조정값 설정하기... 9 화면 크기 변경하기... 9 부가 설정 변경하기

차례 1. 채널 메뉴 채널 정보 보기... 4 채널 메뉴 사용하기... 4 선호 채널 설정하기... 7 채널 기억하기... 7 기타 기능... 8 2. 기본 기능 화면 모드 변경하기... 9 화면 조정값 설정하기... 9 화면 크기 변경하기... 9 부가 설정 변경하기 E-설명서 BN81-06841A-04 본 제품은 대한민국에서만 사용하도록 만들어져 있으며, 해외에서는 품질을 보증하지 않습니다. (FOR KOREA UNIT STANDARD ONLY) "안전을 위한 주의사항" 사용자의 안전을 지키고 재산상의 손해 등을 막기 위한 내용입니다. 반드시 읽고 올바르게 사용해 주세요. 사용설명서 상의 그림은 설명을 위해 그려진 것으로

More information

BS-K1117□-M□□-3012_ProductGuide_KR_PDF

BS-K1117□-M□□-3012_ProductGuide_KR_PDF READER/WRITER MADE IN JAPAN AnyWireASLINK System [ASLINK ] S-K1117-M-312..,.,. AnyWireASLINK :, M12 S-K1117-M8-312 S-K1117-M12-312 S-K1117-M18-312 S-K1117-M3-312 S-K1117S-M12-312 S-K1117S-M18-312 S-K1117S-M3-312

More information

인켈(국문)pdf.pdf

인켈(국문)pdf.pdf M F - 2 5 0 Portable Digital Music Player FM PRESET STEREOMONO FM FM FM FM EQ PC Install Disc MP3/FM Program U S B P C Firmware Upgrade General Repeat Mode FM Band Sleep Time Power Off Time Resume Load

More information

Smart Power Scope Release Informations.pages

Smart Power Scope Release Informations.pages v2.3.7 (2017.09.07) 1. Galaxy S8 2. SS100, SS200 v2.7.6 (2017.09.07) 1. SS100, SS200 v1.0.7 (2017.09.07) [SHM-SS200 Firmware] 1. UART Command v1.3.9 (2017.09.07) [SHM-SS100 Firmware] 1. UART Command SH모바일

More information

Integ

Integ HP Integrity HP Chipset Itanium 2(Processor 9100) HP Integrity HP, Itanium. HP Integrity Blade BL860c HP Integrity Blade BL870c HP Integrity rx2660 HP Integrity rx3600 HP Integrity rx6600 2 HP Integrity

More information

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을

안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 Digital Video Recorder 간편설명서 XD3316 안전을 위한 주의사항 제품을 올바르게 사용하여 위험이나 재산상의 피해를 미리 막기 위한 내용이므로 반드시 지켜 주시기 바랍니다. 2 경고 설치 관련 지시사항을 위반했을 때 심각한 상해가 발생하거나 사망에 이를 가능성이 있는 경우 설치하기 전에 반드시 본 기기의 전원을 차단하고, 전원 플러그를 동시에

More information

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt)

(Microsoft PowerPoint - \270\266\300\314\305\251\267\316\304\250USB_Host_Device_\272\316\306\256\267\316\264\365\275\307\275\300_Philip.ppt) 마이크로칩 USB Host & Device 부트로더기능실습 한국마이크로칩서한석부장 (CAE) 2009-09-07 마이크로칩 16 비트 USB 데모보드세팅 Explorer 16 + USB PICtail Plus Daughter Board + USB PIMs Part #: DM240001 Part #: AC164131 Part #: MA240014(PIC24FJ256GB11)

More information

AnyTouch V8, V7 Plus Series 최상의연결성과편리성

AnyTouch V8, V7 Plus Series 최상의연결성과편리성 AnyTouch V8, V7 Plus Series 최상의연결성과편리성 케이블리스트 PC연결케이블결선도작화전송케이블 Code : V6CP (V6+, V7+), VCP (V8+) V8+, V7+, V6+ Series & PC 연결케이블결선도 V4, GT80 Series & PC 연결케이블결선도 Touch Panel PC Touch Panel PC AllenBradley

More information

歯superimp.PDF

歯superimp.PDF 1 TV TV,, TV TV? TV OSDC (On Screen Display Controller) OSDC TV TV, TV TV 6845 CRTCTI9938 VDP, CRTCVDP OSDC IC TV, OSDC OSDC TV, OSDC, 1 ,,,, ASCII / ( ) ( ) ON/OFF, PC, 2432(PC 1616) CG ROM(FONT ROM)

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129>

<4D6963726F736F667420506F776572506F696E74202D20C0BDBCBA484D4920C0FBBFEB20C5DAB7B9B8C5C6BDBDBA20B4DCB8BBB1E228B9DFC7A5C0DAB7E129> Terminal Platform 권오일 (koi@haco.co.kr) 현대오토넷 목차 1. 텔레매틱스 시스템 개요 P3 2. 텔레매틱스 단말기 개요 P4 3. 텔레매틱스 단말기 하드웨어 P9 4. 텔레매틱스 단말기 소프트웨어 P15 5. 음성 HMI 적용 전체 시나리오 P22 6. 향후 계획 P26 2 1. 텔레매틱스 시스템 개요 3 Block Diagram

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

50-FB23-24_BES_V_ k1_ b

50-FB23-24_BES_V_ k1_ b Terminal CPX CPX-FB23, CPX-FB23-24 CC-Link 1411b [8042132] CPX-FB23, CPX-FB23-24 P.BE-CPX-FB23-24-KO CC-Link, Mitsubishi TORX ( ). :.. :.,... :. 1... 2 Festo P.BE-CPX-FB23-24-KO 1411b CPX-FB23, CPX-FB23-24

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

Microsoft Word - ZIO-AP1500N-Manual.doc

Microsoft Word - ZIO-AP1500N-Manual.doc 목 차 사용자 설명서 1 장 제품 소개 ------------------------------ 1 2 장 제품 내용물 ---------------------------- 2 3 장 AP 연결 설정 방법 ------------------------ 3 4 장 동작 방식별 설정 방법 --------------------- 7 (1) 엑세스 포인트 모드 -----------------------

More information

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기

Mango-IMX6Q mfgtool을 이용한 이미지 Write하기 Mango-IMX6Q mfgtool 을 이용한이미지 Write 하기 http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document

More information

Microsoft Word - EastSocket매뉴얼_ _.doc

Microsoft Word - EastSocket매뉴얼_ _.doc USB 전원을이용한 SMD 패키지라이팅지원보드 저가의라이팅장비를사용하여 SMD 패키지마이컴을라이팅할수있도록지원하는장비입니다. 각종제품개발시마이컴을 SMD로사용하는추세로이를양산에적용시고가의장비를사용해야했습니다. 아니면보드에다운로더를사용하여마이컴라이팅을했습니다. 그에따른생산속도의저하및고가의장비구입에따른경제적부담이컸습니다. 이를대처하기위해저가및기존개발에사용한장비를그대로사용하여라이팅을할수있는지원장비를개발했습니다.

More information

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0

TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 Rev Rev..5., 부트모드선택회로설명추가, 참조전압회로설명추가 - Rev..5., 그림및표에캡션추가, JTAG PD핀전압선택설명추가 0 TMS0F85 초소형메모리모듈매뉴얼 TMS0F85 초소형메모리모듈제품매뉴얼 V.5. Tel. 0-78-8 Fax. 0-706-8 E-mail. dsptools@syncworks.co.kr [] page TMS0F85 초소형메모리모듈매뉴얼 *Revision History 날짜 내용 09. 0. 9. - Rev..5 09. 0. 09. - Rev..5., 부트모드선택회로설명추가,

More information