1

Size: px
Start display at page:

Download "1"

Transcription

1 MJL Technology, Ltd. / Logic Design 메모 메모 (Technical) Document No.: MJL-LD-AN-10 Author: 양창우 [cwyang@mjl.com] Version: 1.0 Date: 2001 년 3 월 30 일 Subject: LeonardoSpectrum 을사용하여 LPM Function 이사용된 Verilog-HDL Code 합성하기 (APEX 20K/E 를중심으로 ) ALTERA 에서제공하는 LPM Function 은복잡한 Logic 을별다른노력없이단시간에만들수있으며, 또한일정한 Performance 를제공해주는잇점이있다 LPM 자체에관한자세한내용은 ALTERA 의 Website (Library of Parameterized Modules (LPM) - 에서찾아볼수있으므로생략하고여기에서는이러한 LPM Function 을 Verilog-HDL 에어떠한방법으로삽입을할수있는지그리고그 Source 를 ALTERA 가아닌외부논리합성툴에서어떻게합성을하는지를설명한다 Target Device 는 APEX 20KE 로가정한다 LPM Function 은 LPM_RAM_DQ 를사용한다 Verilog-HDL 합성은 Exemplar Logic 사의 LeonardoSpectrum for ALTERA Level b 를사용한다 합성후생성된 EDIF Netlist 를받아서 Fitting 하는 Software 는 Quartus II Service Pack 2 를사용한다 여기서구현하는회로는아래의 Schematic 과같이 LPM_RAM_DQ 로구현된 256x8 용량의 Memory 이다 ( 아래의그림에서 ram256x8 로표시되는부분이 LPM Function 으로구현된부분이다 ) 달랑 Memory 만들어있는간단한회로를예로든것은나중에 Quartus II 를이용한 Compile 후실제로 256x8bit Memory 가 APEX 20KE Device 의 ESB (Embedded System Block) 에구현이되었는지를쉽게확인하기위한목적도있다 Page 1

2 기본개념 사용자들이제일많이착각을하는부분이있다 다름이아니라 LPM Function 으로구현된부분까지도논리합성툴을사용하여합성을하는것으로인식을하고있다는것이다 몇몇사람은 LPM 부분까지모두합성을하여잘사용하는중이라고하는경우도있는데, 이건어디까지나운이좋아서된것이지올바르게사용했다고는볼수없다 일단가장중요한개념은 LPM Function 은합성시사용되는것이아니라 Fitting 시적용된다는점이다 1. 사용자의 Verilog-HDL 구문내에 LPM Function 이사용되었슴을알리는 Module 선언부분만이들어간다 이것을논리합성툴을사용하여합성을하면, 합성툴에서는 LPM Function 이선언되어있는부분을인지하고 Black Box 로처리해버린다 ( 즉, 입출력 Port 만정의하고내용은공란으로처리한다 ) 2. 합성이완료되면결과물로 EDIF Format 의 Netlist 가생성된다 ( 일반적인경우 ) 3. Netlist 를사용하여 ALTERA Quartus II 에서 Project 를생성하고 Compile 을수행한다 Compile 과정중합성툴에서 Block Box 로처리된부분이무엇인가파악하고 LPM Function 이라면이때관련된 LPM Function 의기능을추가 ( 구현 - Implementation) 한다 이러한개념을가지고아래의단계를진행한다 MegaWizard Plug-In Manager 의실행 Quartus II 를띄운후 Tools -> MegaWizard Plug-In Manager 를실행한다 (Figure 1 참조 ) Figure 1 MegaWizard Plug-In Manager... Figure 2 는 MegaWizard Plug-In Manager 의초기화면이다 우리는새로운 LPM Function 을만들것이므로 Create a new custom megafunction variation 을선택하고다음으로넘어간다 Page 2

3 Figure 2 새로운 LPM Function 을만들기위한초기화면 Figure 3 은어떠한종류의 LPM Function 을만들것인지, 어떠한 Type 의결과파일을만들지, 어느폴더에어떠한이름으로저장할지등을물어보는그림이다 여기에서는 RAM256x8 이라는이름으로 LPM_RAM_DQ 를만든다고지정하였다 Figure 3 LPM Function 의선택 Page 3

4 우리는맨처음의그림에서와같이 256x8bit Size 의 RAM 을만들것이므로 LPM_RAM_DQ 를선택한다 또한 Verilog-HDL 에서사용할것이므로 Output File Type 은 Verilog HDL 로선택한다 Output File 이저장될위치는논리합성툴이 Netlist 를생성해낼폴더를지정하면된다 그다음으로나오는화면은입 / 출력 Parameter 를지정하는화면이다 Figure 4 처럼지정한다 Figure 4 입 / 출력 Parameter 의지정 Figure 5 는지금만들고있는 RAM Memory 의초기값지정여부를묻는그림이다 LPM_RAM_DQ 로만드는 RAM Memory 가일반 RAM Memory Device 와다른점은여기에있다 일반 RAM 은초기값이모두 0 이되지만, LPM 으로만드는 RAM 은마치 ROM 처럼초기값을넣어줄수가있는것이다 만약초기값을넣어주려면, Yes, use this file~~~ 를선택하고 Intel HEX Format 이나 ALTERA 자체 Format 인 MIF 형식으로작성된파일을지정해주면된다 ( 두가지모두일반 ASCII 형식임 ) 화면하단의 Implement logic cells only, even if the device contains EABs or ESBs 는내부 Memory Block 이있는 FLEX 10K 나 APEX 20K 의경우 LPM_RAM_DQ 를일반사용자 Logic 이구현되는 Logic Element 를사용하여구현하라는 Option 인데, 유용성여부는사용자자신의판단에달려있다 Page 4

5 Figure 5 Memory 내부의초기값설정 Figure 6 은 MegaWizard Plug-In Manager 의최종화면으로 Finish 버튼을누르면지금까지설정한값대로 LPM_RAM_DQ Function 이생성된다 Figure 6 MegaWizard Plug-In Manager 의최종화면 이상과같이작업을하면우리가원하는 256x8bit 의 RAM Memory Block 이완성된다 완성된후결과파일이저장된폴더를열어보면총 6 개의파일이생성된것을확인할수있다 Page 5

6 ram256x8.bsf / ram256x8.cmp / ram256x8.inc / ram256x8.v / ram256x8_bb.v / ram256x8_inst.v *.bsf 파일은 Schematic 작업시사용되는 Symbol 파일이고, *.cmp 파일은 VHDL Coding 시사용되는 Component 구문이정의된파일이며, *.inc 는 AHDL Coding 시사용되는 Function 이정의된파일이다 *.v 파일들이실제로 Verilog-HDL 로작업할때사용되어지는파일들이다 ram256x8.v 는 Quartus II 가 Compile 시실제로참조하는파일이고, ram256x8_bb.v 와 ram256x8_inst.v 는사용자 Verilog- HDL Code 에삽입되어져야할부분이정의된파일들이다 ram256x8.v 파일 // megafunction wizard: %LPM_RAM_DQ% // GENERATION: STANDARD // VERSION: WM1.0 // MODULE: lpm_ram_dq // ============================================================ // File Name: ram256x8.v // Megafunction Name(s): // lpm_ram_dq // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // ************************************************************ //Copyright (C) Altera Corporation //Any megafunction design, and related net list (encrypted or decrypted), //support information, device programming or simulation file, and any other //associated documentation or information provided by Altera or a partner //under Altera's Megafunction Partnership Program may be used only to //program PLD devices (but not masked PLD devices) from Altera. Any other //use of such megafunction design, net list, support information, device //programming or simulation file, or any other related documentation or //information is prohibited for any other purpose, including, but not //limited to modification, reverse engineering, de-compiling, or use with //any other silicon devices, unless such use is explicitly licensed under //a separate agreement with Altera or a megafunction partner. Title to //the intellectual property, including patents, copyrights, trademarks, //trade secrets, or maskworks, embodied in any such megafunction design, //net list, support information, device programming or simulation file, or //any other related documentation or information provided by Altera or a //megafunction partner, remains with Altera, the megafunction partner, or //their respective licensors. No other licenses, including any licenses //needed under any third party's intellectual property, are provided herein. module ram256x8 ( address, inclock, we, data, q); input [7:0] address; input inclock; input we; input [7:0] data; Page 6

7 output [7:0] q; wire [7:0] sub_wire0; wire [7:0] q = sub_wire0[7:0]; lpm_ram_dq lpm_ram_dq_component (.address (address),.inclock (inclock),.data (data),.we (we),.q (sub_wire0)); defparam lpm_ram_dq_component.lpm_width = 8, lpm_ram_dq_component.lpm_widthad = 8, lpm_ram_dq_component.lpm_indata = "REGISTERED", lpm_ram_dq_component.lpm_address_control = "REGISTERED", lpm_ram_dq_component.lpm_outdata = "UNREGISTERED", lpm_ram_dq_component.lpm_hint = "USE_EAB=ON"; endmodule // ============================================================ // CNX file retrieval info // ============================================================ // Retrieval info: PRIVATE: WidthData NUMERIC "8" // Retrieval info: PRIVATE: WidthAddr NUMERIC "8" // Retrieval info: PRIVATE: RegData NUMERIC "1" // Retrieval info: PRIVATE: RegAdd NUMERIC "1" // Retrieval info: PRIVATE: OutputRegistered NUMERIC "0" // Retrieval info: PRIVATE: BlankMemory NUMERIC "1" // Retrieval info: PRIVATE: MIFfilename STRING "" // Retrieval info: PRIVATE: UseLCs NUMERIC "0" // Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" // Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" // Retrieval info: CONSTANT: LPM_WIDTHAD NUMERIC "8" // Retrieval info: CONSTANT: LPM_INDATA STRING "REGISTERED" // Retrieval info: CONSTANT: LPM_ADDRESS_CONTROL STRING "REGISTERED" // Retrieval info: CONSTANT: LPM_OUTDATA STRING "UNREGISTERED" // Retrieval info: CONSTANT: LPM_HINT STRING "USE_EAB=ON" // Retrieval info: USED_PORT: address INPUT NODEFVAL address[7..0] // Retrieval info: USED_PORT: inclock INPUT NODEFVAL inclock // Retrieval info: USED_PORT: we INPUT VCC we // Retrieval info: USED_PORT: q OUTPUT NODEFVAL q[7..0] // Retrieval info: USED_PORT: data INPUT NODEFVAL data[7..0] // Retrieval info: address // Retrieval info: inclock // Retrieval info: we // Retrieval info: CONNECT: q // Retrieval info: data ram256x8_bb.v 파일 //Copyright (C) Altera Corporation //Any megafunction design, and related net list (encrypted or decrypted), //support information, device programming or simulation file, and any other //associated documentation or information provided by Altera or a partner //under Altera's Megafunction Partnership Program may be used only to //program PLD devices (but not masked PLD devices) from Altera. Any other //use of such megafunction design, net list, support information, device //programming or simulation file, or any other related documentation or //information is prohibited for any other purpose, including, but not Page 7

8 //limited to modification, reverse engineering, de-compiling, or use with //any other silicon devices, unless such use is explicitly licensed under //a separate agreement with Altera or a megafunction partner. Title to //the intellectual property, including patents, copyrights, trademarks, //trade secrets, or maskworks, embodied in any such megafunction design, //net list, support information, device programming or simulation file, or //any other related documentation or information provided by Altera or a //megafunction partner, remains with Altera, the megafunction partner, or //their respective licensors. No other licenses, including any licenses //needed under any third party's intellectual property, are provided herein. module ram256x8 ( address, inclock, we, data, q); endmodule input [7:0] address; input inclock; input we; input [7:0] data; output [7:0] q; ram256x8_inst.v 파일 ram256x8 ram256x8_inst (.address ( address_sig ),.inclock ( inclock_sig ),.we ( we_sig ),.data ( data_sig ),.q ( q_sig ) ); 이글의앞부분에서도잠깐언급을했듯이 MegaWizard Plug-In Manager 에서생성된파일들은반드시논리합성툴이생성해낼 Netlist 가위치할폴더에넣어주어야함을잊지말아야한다 Verilog-HDL Coding 이제는사용자 Code 를작성할차례이다 그림을참고로하여 Coding 을하면아래와같다 파일명은 lpmramdq_inst.v 로가정한다 Page 8

9 lpmramdq_inst.v 파일 module lpmramdq_inst( we, clk, a, d, qout ); input we; input clk; input [7:0] a; input [7:0] d; output [7:0] qout; ram256x8 ram_inst(.we(we),.inclock(clk),.address(a),.data(d),.q(qout)); endmodule 여기에다가 ram256x8_bb.v 의내용을복사하여 Source Code 의하단에붙여넣으면전체 Source 가완성된다 (Source 중간에 ram_inst 라는 Instance Name 을가진 ram256x8 Module 이사용되었으므로 ) 완성된 lpmramdq_inst.v 파일 module lpmramdq_inst( we, clk, a, d, qout ); input we; input clk; input [7:0] a; input [7:0] d; output [7:0] qout; ram256x8 ram_inst(.we(we),.inclock(clk),.address(a),.data(d),.q(qout)); endmodule module ram256x8 ( address, inclock, we, data, q); input [7:0] address; input inclock; input we; input [7:0] data; output [7:0] q; Page 9

10 endmodule LeonardoSpectrum for ALTERA Level b 를사용하여합성하기 Verilog-HDL Code 가완성되었으므로이제는논리합성툴 (Logic Synthesis Tool) 을가지고합성을할단계이다 여기에서는 ALTERA 와 OEM 계약을맺은 Exemplar 의 LeonardoSpectrum for ALTERA Level b 를사용하여합성을한다 참고로 ALTERA 에서제공하는 LeonardoSpectrum 은 ALTERA Device 만을선택하여합성을할수있으며, 관련 License 는 VHDL 만을합성할수있거나혹은 Verilog-HDL 만을합성할수있는 License 만을주므로자신의 License 가어떤것인지를먼저확인하여야한다 물론정품이있다면그걸활용하여도무방하다 Figure 7 LeonardoSpectrum 의초기실행화면 여기에서는 LeonardoSpectrum 의자세한사용법은생략한다 합성시주의할점은 MegaWizard Plug-In Manager 로만든 *.v 파일들은합성될파일목록에포함시키면않된다는것이다 앞서기본개념부분에서말했듯이그파일들은 Quartus II 가 Compile 을수행할때참조되는파일들이기때문이다 Page 10

11 여기에서는사용자가작성한 lpmramdq_inst.v 만합성을하면된다 여기에서는반드시설정해야할부분만을선택하고합성을하였다 선택한 Device 는 EP20K30EFC144-3 이다 아래는 LeonardoSpectrum for ALTERA Level b 에서출력한 Log 이다 Info: Attempting to checkout a license to run as LeonardoSpectrum Level 1 Altera Info: License passed Session history will be logged to file 'C:/CAD/_FAE/test/lpmramdq_ex/exemplar.his' Info, Working Directory is now 'C:\CAD\_FAE\test\lpmramdq_ex' Info: system variable EXEMPLAR set to "c:\cad\fpgadv\exemplar" Info: Loading Exemplar Blocks file: c:\cad\fpgadv\exemplar/data/xmplrblks.ini Messages will be logged to file 'C:/CAD/_FAE/test/lpmramdq_ex/exemplar.log'... LeonardoSpectrum Level 1 Altera - v20001b.106 (Release Production, compiled Dec at 17:05:11) Copyright Exemplar Logic, Inc. All rights reserved Welcome to LeonardoSpectrum Level 1 Altera -- Run By cwyang@cwyang -- Run Started On Sun Apr 01 07:21:35 Korea Standard Time <- 여기까지가 Tool 상에서띄우는초기 Log 이다 ->set_working_dir C:/CAD/_FAE/test/verilog_lpm_ex <- 작업폴더설정 Info, Working Directory is now 'C:\CAD\_FAE\test\verilog_lpm_ex' ->_gc_read_init ->_gc_run_init ->set input_file_list { C:/CAD/_FAE/test/verilog_lpm_ex/src/lpmramdq_inst.v } <- 합성할파일선택 C:/CAD/_FAE/test/verilog_lpm_ex/src/lpmramdq_inst.v ->set part EP20K30EFC144 <- Target Device 선택 EP20K30EFC144 ->set process 3 <- Speed Grade 선택 3 ->set chip TRUE ->set macro FALSE FALSE ->set area TRUE ->set delay FALSE FALSE ->set report brief brief ->set hierarchy_auto TRUE TRUE ->set hierarchy_preserve FALSE FALSE ->set output_file C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.edf <- 합성결과물인 Netlist 의저장경로및파일명선택 ( 기본적으로 Top Design Entity 의파일명과동일하게설정된다 ) C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.edf ->set novendor_constraint_file FALSE FALSE ->set target apex20e apex20e ->_gc_read <- 파일을 Tool 내로불러들여문법검사와구문분석을시작한다 -- Reading target technology apex20e Reading library file `c:\cad\fpgadv\exemplar\lib\apex20e.syn`... Library version = 1.6 Delays assume: Process=3 -- read -tech apex20e { C:/CAD/_FAE/test/verilog_lpm_ex/src/lpmramdq_inst.v } -- Reading file 'C:/CAD/_FAE/test/verilog_lpm_ex/src/lpmramdq_inst.v' Loading module ram256x8 -- Loading module lpmramdq_inst -- Compiling root module 'lpmramdq_inst' Page 11

12 "C:/CAD/_FAE/test/verilog_lpm_ex/src/lpmramdq_inst.v",line 22: Warning, module ram256x8 is empty. <- ram256x8 Module 이비었다고출력하는데, 정상적인출력결과이다 -- Pre Optimizing Design.work.lpmramdq_inst.INTERFACE -- Boundary optimization. Info: Finished reading design ->_gc_run <- 이제부터 Synthesis 과정으로들어간다 -- Run Started On Sun Apr 01 07:25:24 Korea Standard Time optimize -target apex20e -effort quick -chip -area -hierarchy=auto Using default wire table: apex20e_default -- Start optimization for design.work.lpmramdq_inst.interface Using default wire table: apex20e_default est est Pass LCs Delay DFFs TRIs PIs POs --CPU-- min:sec :00 Using default wire table: apex20e_default -- Start timing optimization for design.work.lpmramdq_inst.interface No critical paths to optimize at this level <- Synthesis Report 가출력된다 ******************************************************* Cell: lpmramdq_inst View: INTERFACE Library: work ******************************************************* Number of ports : 26 Number of nets : 52 Number of instances : 29 Number of references to this view : 0 Total accumulated area : Number of GND : 1 Number of IOs : 26 Number of VCC : 1 Black Box ram256x8 : 1 <- ram256x8 Module 이 Block Box 로 처리되었슴을나타낸다 *********************************************** Device Utilization for EP20K30EFC144 *********************************************** Resource Used Avail Utilization IOs % LCs % Memory Bits % <- Memory Bits 의사용량이 0 으로 나오는게당연하다 Black Box 로처리되었으므로 LeonardoSpectrum 은 ram256x8 이무엇인지 모르기때문이다 Critical Path Report Critical path #1, (unconstrained path) NAME GATE ARRIVAL LOAD d(0)/ up 1.49 d(0)_ibuf/combout apex20_io_input_none_from_pin up 1.49 ram_inst/data(0) GENERIC_BLACK_BOX up 0.00 data arrival time 3.13 data required time not specified Page 12

13 data required time not specified data arrival time unconstrained path Design summary in file 'C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.sum' -- Saving the design database in C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.xdb -- Writing file C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.xdb -- Writing XDB version Writing file C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.edf Info, Writing xrf file 'C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.xrf' -- Writing file C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.xrf Info, Writing batch file 'C:/CAD/_FAE/test/verilog_lpm_ex/lpmramdq_inst.tcl' -- CPU time taken for this run was 1.81 sec -- Run Successfully Ended On Sun Apr 01 07:25:26 Korea Standard Time Info: Finished Synthesis run <- logic Synthesis 가정상적으로종료됨 논리합성이정상적으로되면작업폴더에 lpmramdq_inst.edf 라는 EDIF Format 의 Netlist 가생성되어있슴을확인할수있다 Quartus II 를사용하여 Compile 하기 현재작업폴더를확인하면 MegaWizard Plug-In Manager 를사용하여생성한 RAM256x8 Module 과 LeonardoSpectrum 으로만든 LPMRAMDQ_INST.EDF 파일이있을것이다 이번단계는마지막단계로 ALTERA 에서제공하는 APEX 20KE Device 용 Compile Tool 인 Quartus II 를사용하여 Compile 을진행하는단계이다 Quartus II 를띄운후 File -> New Project Wizard 를선택하여새 Project 를만든다 Figure 8 은 New Project Wizard 의초기화면이다 다음으로넘어간다 Page 13

14 Figure 8 New Project Wizard 의초기화면 그다음화면은지금만들려는 Project 가위치할폴더의경로와 Project 이름그리고현 Project 에서사용될 Top-Level Design Entity 이름을입력하는부분이다 세군데칸을모두채워야하는데, 가장편리한방법은 Project 가위치할경로를물어보는부분의 Browse 버튼을눌러나타나는 Select Directory 대화상자에서폴더를찾고아까만든 *.EDF 파일을더블클릭하는것이다 이렇게하면나머지두개의빈칸도자동으로채워진다 Page 14

15 Figure 9 Project 만들기 Figure 9 처럼모두채워넣었으면 Finish 를눌러새 Project 생성작업을완료한다 우리는지금까지 lpmramdq_inst 라는이름을가진 Netlist 를 Top-Level Design Entity 로하는 LPMRAMDQ_INST 라는 Project 를만들었다 이번에행할작업은 Project -> EDA Tool Settings 를선택하여 EDIF Netlist 를만들때사용한논리합성툴을선택하는단계이다 ( 이단계를무시하고바로 Compile 을할경우 Compiler 가 EDIF Netlist 를읽어들이면서자동으로논리합성툴의종류를인식하기는하지만, 만약의사태에대비하여꼭 Check 하는습관을들이도록한다 ) Design entry / synthesis tool: 항목에서우리가사용했던 Tool 을선택한다 우리는 ALTERA 전용의 LeonardoSpectrum 을사용했었으므로 Leonardo Spectrum(Level 1) 을선택하면된다 OK 버튼을눌러설정을완료한다 Page 15

16 Figure 10 Logic Synthesis Tool 의선택 이이후의작업은일반적인 Quartus II 작업순서와동일하다 Compiler Settings 에서 Target Device 를정해주고 ( 만약여기서정하지않더라도 Compiler 가자동으로잡아주기는한다 ) Start Compilation 을시작한다 여기에서는 LeonardoSpectrum 에서선택했던 EP20K30EFC144-3 을선택하고 Compile 과정을수행한다 (Figure 11, 12 참조 ) Page 16

17 Figure 11 Compiler Settinfs 중 Target Device 의선택 Figure 12 Start Compilation Button Page 17

18 Figure 13 정상적으로 Compile 이완료된회면 지금까지의과정대로진행이되었다면 Compile 은별문제없이잘될것이다 만약중간에 Error 가발생한다면어디선가잘못했거나빼먹은부분이있다는것이므로다시한번잘살펴보기바란다 Quartus II 의하단에는 Compile 중에발생하는각종 Message 를표시하는 Messages 창이있는데, 아래와같은내용들이표시되어있을것이다 몇가지를살펴보면, Info: Found 1 design units and 1 entities in source file C:\CAD\_FAE\test\verilog_lpm_ex\lpmramdq_inst.edf <- Top-Level Design Entity 인 LPMRAMDQ_INST.EDF 를확인했슴을알려준다 Info: Found entity 1: lpmramdq_inst Info: Found 1 design units and 1 entities in source file c:\cad\_fae\test\verilog_lpm_ex\ram256x8.v <- MegaWizard Plug-In Manager 에서 생성한 LPM 관련핵심 Module 인 ram256x8.v 가 Compile 과정에서야적용됨을확인할수있다 Info: Found entity 1: ram256x8 Info: Found 1 design units and 1 entities in source file C:\CAD\ALTERA\Quartus\libraries\megafunctions\lpm_ram_dq.tdf Info: Found entity 1: lpm_ram_dq Info: Found 1 design units and 1 entities in source file C:\CAD\ALTERA\Quartus\libraries\megafunctions\altram.tdf Info: Found entity 1: altram Info: Implemented 34 device resources Info: Implemented 18 input pins Info: Implemented 8 output pins Info: Implemented 8 RAM segments Info: Selected device EP20K30EFC144-3 for design lpmramdq_inst Page 18

19 Info: Started 1 fitting attempt on Mon Apr at 01:19:44 Warning: Timing characteristics of device EP20K30EFC144-3 are preliminary Warning: Found pins functioning as undefined clocks and/or memory enables Info: Assuming node clk is an undefined clock Info: No valid register-to-register paths exist for clock clk Info: Design lpmramdq_inst: Full compilation was successful. 0 errors, 2 warnings 이번에는 Quartus II 의 Workplace 에있는 lpmramdq_inst Compilation Report 의 Summary 부분을살펴보자 우리가작성한회로는오직 256x8bit RAM 만들어있는회로이다 Summary 부분을보면우리의예상처럼 Logic Element 는당연히하나도사용되지않았고, 단지 ESB 라불리는 Memory Block 만이 2048bit 를쓴것으로나와있다 Figure 14 Compilation Report 이로써 LPM_RAM_DQ Function 을적용한사용자 Verilog-HDL 이 LeonardoSpecrum 의합성과정을거쳐 Quartus II Compilation 까지정확하게이루어졌슴을확인할수있다 마치며 지금까지우리는 LPM Function 을사용한 Verilog-HDL 을 3 rd Party Synthesis Tool 인 Leonardo Spectrum 에서어떨게합성을하는지, 그리고 Quartus II 에서는어떤식으로 Compile 이이루어지는지살펴보았다 여기서는 Exemplar 사의 Tool 을가지고예를들었지만, 다른 Tool 들을사용하더라도공통으로적용되는부분이므로서두에서언급했던기본개념부분을잘숙지하고작업을진행한다면별문제없이원하는결과를볼수있을것이다 Page 19

20 Revision History Ver 1.0: Initialize Release Page 20

MAX+plus II Getting Started - 무작정따라하기

MAX+plus II Getting Started - 무작정따라하기 무작정 따라하기 2001 10 4 / Version 20-2 0 MAX+plus II Digital, Schematic Capture MAX+plus II, IC, CPLD FPGA (Logic) ALTERA PLD FLEX10K Series EPF10K10QC208-4 MAX+plus II Project, Schematic, Design Compilation,

More information

Orcad Capture 9.x

Orcad Capture 9.x OrCAD Capture Workbook (Ver 10.xx) 0 Capture 1 2 3 Capture for window 4.opj ( OrCAD Project file) Design file Programe link file..dsn (OrCAD Design file) Design file..olb (OrCAD Library file) file..upd

More information

DE1-SoC Board

DE1-SoC Board 실습 1 개발환경 DE1-SoC Board Design Tools - Installation Download & Install Quartus Prime Lite Edition http://www.altera.com/ Quartus Prime (includes Nios II EDS) Nios II Embedded Design Suite (EDS) is automatically

More information

歯Intro_alt_han_s.PDF

歯Intro_alt_han_s.PDF ALTERA & MAX+PLUS II ALTERA & ALTERA Device ALTERA MAX7000, MAX9000 FLEX8000,FLEX10K APEX20K Family MAX+PLUS II MAX+PLUS II 2 Altera & Altera Devices 4 ALTERA Programmable Logic Device Inventor of the

More information

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D C61645FB3EDB8AEC7D5BCBA20B9D720C5F8BBE7BFEBB9FD2E BC8A3C8AF20B8F0B5E55D> VHDL 프로그래밍 D. 논리합성및 Xilinx ISE 툴사용법 학습목표 Xilinx ISE Tool 을이용하여 Xilinx 사에서지원하는해당 FPGA Board 에맞는논리합성과정을숙지 논리합성이가능한코드와그렇지않은코드를구분 Xilinx Block Memory Generator를이용한 RAM/ ROM 생성하는과정을숙지 2/31 Content Xilinx ISE

More information

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O

ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE (Online Upgrade) ORANGE CONFIGURATION ADMIN O Orange for ORACLE V4.0 Installation Guide ORANGE FOR ORACLE V4.0 INSTALLATION GUIDE...1 1....2 1.1...2 1.2...2 1.2.1...2 1.2.2 (Online Upgrade)...11 1.3 ORANGE CONFIGURATION ADMIN...12 1.3.1 Orange Configuration

More information

ISP and CodeVisionAVR C Compiler.hwp

ISP and CodeVisionAVR C Compiler.hwp USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler http://www.avrmall.com/ November 12, 2007 Copyright (c) 2003-2008 All Rights Reserved. USBISP V3.0 & P-AVRISP V1.0 with CodeVisionAVR C Compiler

More information

CD-RW_Advanced.PDF

CD-RW_Advanced.PDF HP CD-Writer Program User Guide - - Ver. 2.0 HP CD-RW Adaptec Easy CD Creator Copier, Direct CD. HP CD-RW,. Easy CD Creator 3.5C, Direct CD 3.0., HP. HP CD-RW TEAM ( 02-3270-0803 ) < > 1. CD...3 CD...5

More information

Remote UI Guide

Remote UI Guide Remote UI KOR Remote UI Remote UI PDF Adobe Reader/Adobe Acrobat Reader. Adobe Reader/Adobe Acrobat Reader Adobe Systems Incorporated.. Canon. Remote UI GIF Adobe Systems Incorporated Photoshop. ..........................................................

More information

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate

목차 BUG offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate ALTIBASE HDB 6.1.1.5.6 Patch Notes 목차 BUG-39240 offline replicator 에서유효하지않은로그를읽을경우비정상종료할수있다... 3 BUG-41443 각 partition 이서로다른 tablespace 를가지고, column type 이 CLOB 이며, 해당 table 을 truncate 한뒤, hash partition

More information

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc

Microsoft Word - ntasFrameBuilderInstallGuide2.5.doc NTAS and FRAME BUILDER Install Guide NTAS and FRAME BUILDER Version 2.5 Copyright 2003 Ari System, Inc. All Rights reserved. NTAS and FRAME BUILDER are trademarks or registered trademarks of Ari System,

More information

슬라이드 1

슬라이드 1 Pairwise Tool & Pairwise Test NuSRS 200511305 김성규 200511306 김성훈 200614164 김효석 200611124 유성배 200518036 곡진화 2 PICT Pairwise Tool - PICT Microsoft 의 Command-line 기반의 Free Software www.pairwise.org 에서다운로드후설치

More information

PRO1_04E [읽기 전용]

PRO1_04E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_04E1 Information and S7-300 2 S7-400 3 EPROM / 4 5 6 HW Config 7 8 9 CPU 10 CPU : 11 CPU : 12 CPU : 13 CPU : / 14 CPU : 15 CPU : / 16 HW 17 HW PG 18 SIMATIC

More information

C# Programming Guide - Types

C# Programming Guide - Types C# Programming Guide - Types 최도경 lifeisforu@wemade.com 이문서는 MSDN 의 Types 를요약하고보충한것입니다. http://msdn.microsoft.com/enus/library/ms173104(v=vs.100).aspx Types, Variables, and Values C# 은 type 에민감한언어이다. 모든

More information

API 매뉴얼

API 매뉴얼 PCI-DIO12 API Programming (Rev 1.0) Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

_USB JTAG Ver1.0 User's Manual.hwp

_USB JTAG Ver1.0 User's Manual.hwp Table of Contents 1. Size... 1 2. 주요구성품... 2 3. Target Interface Connectors... 3 4. Install... 4 5. 동작설명... 7 1. Size 1.1 W H : 118mm 75mm 1.2 D : 25.2mm http://cafe.naver.com/seogarae 1 2. 주요구성품 2.1 USB

More information

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs, including any oper Windows Netra Blade X3-2B( Sun Netra X6270 M3 Blade) : E37790 01 2012 9 Copyright 2012, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT END USERS. Oracle programs,

More information

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E.

C. KHU-EE xmega Board 에서는 Button 을 2 개만사용하기때문에 GPIO_PUSH_BUTTON_2 과 GPIO_PUSH_BUTTON_3 define 을 Comment 처리 한다. D. AT45DBX 도사용하지않기때문에 Comment 처리한다. E. ASF(Atmel Software Framework) 환경을이용한프로그램개발 1. New Project Template 만들기 A. STK600 Board Template를이용한 Project 만들기 i. New Project -> Installed(C/C++) -> GCC C ASF Board Project를선택하고, 1. Name: 창에 Project Name(

More information

10X56_NWG_KOR.indd

10X56_NWG_KOR.indd 디지털 프로젝터 X56 네트워크 가이드 이 제품을 구입해 주셔서 감사합니다. 본 설명서는 네트워크 기능 만을 설명하기 위한 것입니다. 본 제품을 올바르게 사 용하려면 이 취급절명저와 본 제품의 다른 취급절명저를 참조하시기 바랍니다. 중요한 주의사항 이 제품을 사용하기 전에 먼저 이 제품에 대한 모든 설명서를 잘 읽어 보십시오. 읽은 뒤에는 나중에 필요할 때

More information

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph

VOL.76.2008/2 Technical SmartPlant Materials - Document Management SmartPlant Materials에서 기본적인 Document를 관리하고자 할 때 필요한 세팅, 파일 업로드 방법 그리고 Path Type인 Ph 인터그래프코리아(주)뉴스레터 통권 제76회 비매품 News Letters Information Systems for the plant Lifecycle Proccess Power & Marine Intergraph 2008 Contents Intergraph 2008 SmartPlant Materials Customer Status 인터그래프(주) 파트너사

More information

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다.

iii. Design Tab 을 Click 하여 WindowBuilder 가자동으로생성한 GUI 프로그래밍환경을확인한다. Eclipse 개발환경에서 WindowBuilder 를이용한 Java 프로그램개발 이예는 Java 프로그램의기초를이해하고있는사람을대상으로 Embedded Microcomputer 를이용한제어시스템을 PC 에서 Serial 통신으로제어 (Graphical User Interface (GUI) 환경에서 ) 하는프로그램개발예를설명한다. WindowBuilder:

More information

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc

Microsoft Word - Modelsim_QuartusII타이밍시뮬레이션.doc Modelsim 과 Quartus II 를이용한설계방법 퀀텀베이스연구개발실, 경기도부천시원미구상동 546-2, 두성프라자 1-606 TEL: 032-321-0195, FAX: 032-321-0197, Web site: www.quantumbase.com 최근 Modelsim은 PC에포팅되어있는것에힘입어많은설계자들이사용하고있습니다이에 Modelsim을이용하여설계하고,

More information

PRO1_09E [읽기 전용]

PRO1_09E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_09E1 Information and - ( ) 2 3 4 5 Monitor/Modify Variables" 6 7 8 9 10 11 CPU 12 Stop 13 (Forcing) 14 (1) 15 (2) 16 : 17 : Stop 18 : 19 : (Forcing) 20 :

More information

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for

example code are examined in this stage The low pressure pressurizer reactor trip module of the Plant Protection System was programmed as subject for 2003 Development of the Software Generation Method using Model Driven Software Engineering Tool,,,,, Hoon-Seon Chang, Jae-Cheon Jung, Jae-Hack Kim Hee-Hwan Han, Do-Yeon Kim, Young-Woo Chang Wang Sik, Moon

More information

Quartus-Manual_Kor.PDF

Quartus-Manual_Kor.PDF (Technical) Document No: MJL-LD-Manual_Quartus Author: [jclee@mjlcom] Version: 10 Date: 2001 3 21 Subject: Quartus Manual Start the Tutorial To start the tutorial, click one of the following tutorial icons

More information

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Analog_lec01.ppt [호환 모드] Chapter 1. Hspice IC CAD 실험 Analog part 1 Digital circuit design 2 Layout? MOSFET! Symbol Layout Physical structure 3 Digital circuit design Verilog 를이용한 coding 및 function 확인 Computer 가알아서해주는 gate level

More information

Analytics > Log & Crash Search > Unity ios SDK [Deprecated] Log & Crash Unity ios SDK. TOAST SDK. Log & Crash Unity SDK Log & Crash Search. Log & Cras

Analytics > Log & Crash Search > Unity ios SDK [Deprecated] Log & Crash Unity ios SDK. TOAST SDK. Log & Crash Unity SDK Log & Crash Search. Log & Cras Analytics > Log & Crash Search > Unity ios SDK [Deprecated] Log & Crash Unity ios SDK. TOAST SDK. Log & Crash Unity SDK Log & Crash Search. Log & Crash Unity SDK... Log & Crash Search. - Unity3D v4.0 ios

More information

Mentor_PCB설계입문

Mentor_PCB설계입문 Mentor MCM, PCB 1999, 03, 13 (daedoo@eeinfokaistackr), (kkuumm00@orgionet) KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da & Summary librarian jakup & package jakup & layout jakup &

More information

歯Chap1-Chap2.PDF

歯Chap1-Chap2.PDF ASIC Chip Chip Chip Proto-Type Chip ASIC Design Flow(Front-End) ASIC VHDL Coding VHDL Simulation Schematic Entry Synthesis Test Vector Gen Test Vector Gen Pre-Simulation Pre-Simulation Timing Verify Timing

More information

디지털 ASIC 설계 (1주차) MAXPLUS II 소개 및 사용법

디지털 ASIC 설계    (1주차)  MAXPLUS II  소개 및 사용법 디지털 ASIC 설계 (1 주차 ) MAXPLUS II 소개및사용법 신흥대학전자통신과김정훈 jhkim@shc.ac.kr 차례 1. Why Digital 2. Combinational logic ( 조합회로 ) 소개 3. Sequential logic ( 순차회로 ) 소개 4. MAX+PLUSII 소개 5. MAX+PLUSII Tools 설계환경 6. 예제소개

More information

SRC PLUS 제어기 MANUAL

SRC PLUS 제어기 MANUAL ,,,, DE FIN E I N T R E A L L O C E N D SU B E N D S U B M O TIO

More information

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & /

s SINUMERIK 840C Service and User Manual DATA SAVING & LOADING & & / SINUMERIK 840C Service and Uer Manual DATA SAVING & LOADING & & / / NC, RS232C /. NC NC / Computer link () Device ( )/PC / / Print erial Data input RS232C () Data output Data management FLOPPY DRIVE, FLOPPY

More information

Chapter 1

Chapter 1 3 Oracle 설치 Objectives Download Oracle 11g Release 2 Install Oracle 11g Release 2 Download Oracle SQL Developer 4.0.3 Install Oracle SQL Developer 4.0.3 Create a database connection 2 Download Oracle 11g

More information

tut_modelsim(student).hwp

tut_modelsim(student).hwp ModelSim 사용법 1. ModelSim-Altera 를이용한 Function/RTL 시뮬레이션 1.1. 테스트벤치를사용하지않는명령어기반시뮬레이션 1.1.1. 시뮬레이션을위한하드웨어 A B S C 그림 1. 반가산기 1.1.2. 작업디렉토리 - File - Change Directory 를클릭하여작업디렉토리지정. 1.1.3. 소스파일작성 - 모델심편집기나기타편집기가능

More information

untitled

untitled Push... 2 Push... 4 Push... 5 Push... 13 Push... 15 1 FORCS Co., LTD A Leader of Enterprise e-business Solution Push (Daemon ), Push Push Observer. Push., Observer. Session. Thread Thread. Observer ID.

More information

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law),

,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), 1, 2, 3, 4, 5, 6 7 8 PSpice EWB,, ,,,,,, (41) ( e f f e c t ), ( c u r r e n t ) ( p o t e n t i a l difference),, ( r e s i s t a n c e ) 2,,,,,,,, (41), (42) (42) ( 41) (Ohm s law), ( ),,,, (43) 94 (44)

More information

Interstage5 SOAP서비스 설정 가이드

Interstage5 SOAP서비스 설정 가이드 Interstage 5 Application Server ( Solaris ) SOAP Service Internet Sample Test SOAP Server Application SOAP Client Application CORBA/SOAP Server Gateway CORBA/SOAP Gateway Client INTERSTAGE SOAP Service

More information

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2

목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy... 6 2.2 Compare... 6 2.3 Copy & Compare... 6 2.4 Erase... 6 2 유영테크닉스( 주) 사용자 설명서 HDD014/034 IDE & SATA Hard Drive Duplicator 유 영 테 크 닉 스 ( 주) (032)670-7880 www.yooyoung-tech.com 목차 1. 제품 소개... 4 1.1 특징... 4 1.2 개요... 4 1.3 Function table... 5 2. 기능 소개... 6 2.1 Copy...

More information

PRO1_02E [읽기 전용]

PRO1_02E [읽기 전용] Siemens AG 1999 All rights reserved File: PRO1_02E1 Information and 2 STEP 7 3 4 5 6 STEP 7 7 / 8 9 10 S7 11 IS7 12 STEP 7 13 STEP 7 14 15 : 16 : S7 17 : S7 18 : CPU 19 1 OB1 FB21 I10 I11 Q40 Siemens AG

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Reasons for Poor Performance Programs 60% Design 20% System 2.5% Database 17.5% Source: ORACLE Performance Tuning 1 SMS TOOL DBA Monitoring TOOL Administration TOOL Performance Insight Backup SQL TUNING

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 NuPIC 2013 2013.11.07~11.08 충남예산 FPGA 기반제어기를위한통합 SW 개발환경구축 유준범 Dependable Software Laboratory 건국대학교 2013.11.08 발표내용 연구동기 효과적인 FPGA 기반제어기를위한통합 SW 개발환경 연구진행현황 개발프로세스 FBD Editor FBDtoVerilog 향후연구계획 맺음말 2

More information

T100MD+

T100MD+ User s Manual 100% ) ( x b a a + 1 RX+ TX+ DTR GND TX+ RX+ DTR GND RX+ TX+ DTR GND DSR RX+ TX+ DTR GND DSR [ DCE TYPE ] [ DCE TYPE ] RS232 Format Baud 1 T100MD+

More information

USER GUIDE

USER GUIDE Solution Package Volume II DATABASE MIGRATION 2010. 1. 9. U.Tu System 1 U.Tu System SeeMAGMA SYSTEM 차 례 1. INPUT & OUTPUT DATABASE LAYOUT...2 2. IPO 중 VB DATA DEFINE 자동작성...4 3. DATABASE UNLOAD...6 4.

More information

WebPACK 및 ModelSim 사용법.hwp

WebPACK 및 ModelSim 사용법.hwp 1. 간단한예제를통한 WebPACK 사용법 Project Navigator를실행시킨후 File 메뉴에 New Project를선택한다. 그럼다음과같이 Project 생성화면이나타난다. Project 생성화면은다음과같다. 1) Project Name Project 명을직접입력할수있다. 예 ) test1 2) Project Location 해당 Project 관련파일이저장될장소를지정한다.

More information

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서

PowerChute Personal Edition v3.1.0 에이전트 사용 설명서 PowerChute Personal Edition v3.1.0 990-3772D-019 4/2019 Schneider Electric IT Corporation Schneider Electric IT Corporation.. Schneider Electric IT Corporation,,,.,. Schneider Electric IT Corporation..

More information

Microsoft Word - ASG AT90CAN128 모듈.doc

Microsoft Word - ASG AT90CAN128 모듈.doc ASG AT90128 Project 3 rd Team Author Cho Chang yeon Date 2006-07-31 Contents 1 Introduction... 3 2 Schematic Revision... 4 3 Library... 5 3.1 1: 1 Communication... 5 iprinceps - 2-2006/07/31

More information

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1

4 CD Construct Special Model VI 2 nd Order Model VI 2 Note: Hands-on 1, 2 RC 1 RLC mass-spring-damper 2 2 ζ ω n (rad/sec) 2 ( ζ < 1), 1 (ζ = 1), ( ) 1 : LabVIEW Control Design, Simulation, & System Identification LabVIEW Control Design Toolkit, Simulation Module, System Identification Toolkit 2 (RLC Spring-Mass-Damper) Control Design toolkit LabVIEW

More information

Install stm32cubemx and st-link utility

Install stm32cubemx and st-link utility STM32CubeMX and ST-LINK Utility for STM32 Development 본문서는 ST Microelectronics 의 ARM Cortex-M 시리즈 Microcontroller 개발을위해제공되는 STM32CubeMX 와 STM32 ST-LINK Utility 프로그램의설치과정을설명합니다. 본문서는 Microsoft Windows 7

More information

Dialog Box 실행파일을 Web에 포함시키는 방법

Dialog Box 실행파일을 Web에 포함시키는 방법 DialogBox Web 1 Dialog Box Web 1 MFC ActiveX ControlWizard workspace 2 insert, ID 3 class 4 CDialogCtrl Class 5 classwizard OnCreate Create 6 ActiveX OCX 7 html 1 MFC ActiveX ControlWizard workspace New

More information

FPGA 개념 q FPGA (Field Programmable Gate Array)? v 사용자가현장에서직접프로그램가능한소자 v 기본적인논리게이트, 플립플롭, 메모리등을구현가능 v 수십개 ~ 수백만개의소자를포함하는규모 v 개발기간이짧고회로동작을바로검증할수있어개발초기에사

FPGA 개념 q FPGA (Field Programmable Gate Array)? v 사용자가현장에서직접프로그램가능한소자 v 기본적인논리게이트, 플립플롭, 메모리등을구현가능 v 수십개 ~ 수백만개의소자를포함하는규모 v 개발기간이짧고회로동작을바로검증할수있어개발초기에사 FPGA (Field Programmable Gate Array) 1 FPGA 개념 q FPGA (Field Programmable Gate Array)? v 사용자가현장에서직접프로그램가능한소자 v 기본적인논리게이트, 플립플롭, 메모리등을구현가능 v 수십개 ~ 수백만개의소자를포함하는규모 v 개발기간이짧고회로동작을바로검증할수있어개발초기에사용하거나 소규모다품종제품에사용

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Verilog: Finite State Machines CSED311 Lab03 Joonsung Kim, joonsung90@postech.ac.kr Finite State Machines Digital system design 시간에배운것과같습니다. Moore / Mealy machines Verilog 를이용해서어떻게구현할까? 2 Finite State

More information

Copyrights and Trademarks Autodesk SketchBook Mobile (2.0.2) 2013 Autodesk, Inc. All Rights Reserved. Except as otherwise permitted by Autodesk, Inc.,

Copyrights and Trademarks Autodesk SketchBook Mobile (2.0.2) 2013 Autodesk, Inc. All Rights Reserved. Except as otherwise permitted by Autodesk, Inc., Autodesk SketchBook Mobile Copyrights and Trademarks Autodesk SketchBook Mobile (2.0.2) 2013 Autodesk, Inc. All Rights Reserved. Except as otherwise permitted by Autodesk, Inc., this publication, or parts

More information

APOGEE Insight_KR_Base_3P11

APOGEE Insight_KR_Base_3P11 Technical Specification Sheet Document No. 149-332P25 September, 2010 Insight 3.11 Base Workstation 그림 1. Insight Base 메인메뉴 Insight Base Insight Insight Base, Insight Base Insight Base Insight Windows

More information

untitled

untitled 1... 2 System... 3... 3.1... 3.2... 3.3... 4... 4.1... 5... 5.1... 5.2... 5.2.1... 5.3... 5.3.1 Modbus-TCP... 5.3.2 Modbus-RTU... 5.3.3 LS485... 5.4... 5.5... 5.5.1... 5.5.2... 5.6... 5.6.1... 5.6.2...

More information

歯15-ROMPLD.PDF

歯15-ROMPLD.PDF MSI & PLD MSI (Medium Scale Integrate Circuit) gate adder, subtractor, comparator, decoder, encoder, multiplexer, demultiplexer, ROM, PLA PLD (programmable logic device) fuse( ) array IC AND OR array sum

More information

MPLAB C18 C

MPLAB C18 C MPLAB C18 C MPLAB C18 MPLAB C18 C MPLAB C18 C #define START, c:\mcc18 errorlevel{0 1} char isascii(char ch); list[list_optioin,list_option] OK, Cancel , MPLAB IDE User s Guide MPLAB C18 C

More information

Slide 1

Slide 1 Clock Jitter Effect for Testing Data Converters Jin-Soo Ko Teradyne 2007. 6. 29. 1 Contents Noise Sources of Testing Converter Calculation of SNR with Clock Jitter Minimum Clock Jitter for Testing N bit

More information

ºÎ·ÏB

ºÎ·ÏB B B.1 B.2 B.3 B.4 B.5 B.1 2 (Boolean algebra). 1854 An Investigation of the Laws of Thought on Which to Found the Mathematical Theories of Logic and Probabilities George Boole. 1938 MIT Claude Sannon [SHAN38].

More information

Microsoft PowerPoint - AME_InstallRoutine_ver8.ppt

Microsoft PowerPoint - AME_InstallRoutine_ver8.ppt AMESim Install Routine and License Manager Tel : +82-31-608-0434 Fax : +82-31-608-0439 E-mail :support@shinho-systems.co.kr http://www.shinho-systems.co.kr Ssangyong IT Twin Tower 702, Sandaewon-dong,

More information

00 SPH-V6900_....

00 SPH-V6900_.... SPH-V6900 사용설명서 사용전에 안전을 위한 경고 및 주의사항을 반드시 읽고 바르게 사용해 주세요. 사용설명서의 화면과 그림은 실물과 다를 수 있습니다. 사용설명서의 내용은 휴대전화의 소프트웨어 버전 또는 KTF 사업자의 사정에 따라 다를 수 있으며, 사용자에게 통보없이 일부 변경될 수 있습니다. 휴대전화의 소프트웨어는 사용자가 최신 버전으로 업그레이드

More information

휠세미나3 ver0.4

휠세미나3 ver0.4 andromeda@sparcs:/$ ls -al dev/sda* brw-rw---- 1 root disk 8, 0 2014-06-09 18:43 dev/sda brw-rw---- 1 root disk 8, 1 2014-06-09 18:43 dev/sda1 brw-rw---- 1 root disk 8, 2 2014-06-09 18:43 dev/sda2 andromeda@sparcs:/$

More information

vm-웨어-앞부속

vm-웨어-앞부속 VMware vsphere 4 This document was created using the official VMware icon and diagram library. Copyright 2009 VMware, Inc. All rights reserved. This product is protected by U.S. and international copyright

More information

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오.

다음 사항을 꼭 확인하세요! 도움말 안내 - 본 도움말에는 iodd2511 조작방법 및 활용법이 적혀 있습니다. - 본 제품 사용 전에 안전을 위한 주의사항 을 반드시 숙지하십시오. - 문제가 발생하면 문제해결 을 참조하십시오. 중요한 Data 는 항상 백업 하십시오. 메 뉴 다음 사항을 꼭 확인하세요! --------------------------------- 2p 안전을 위한 주의 사항 --------------------------------- 3p 구성품 --------------------------------- 4p 각 부분의 명칭 --------------------------------- 5p 제품의 규격

More information

#KM-235(110222)

#KM-235(110222) PARTS BOOK KM-235A/B INFORMATION A. Parts Book Structure of Part Book Unique code by mechanism Unique name by mechanism Explode view Ref. No. : Unique identifcation number by part Parts No. : Unique Product

More information

Mango220 Android How to compile and Transfer image to Target

Mango220 Android How to compile and Transfer image to Target Mango220 Android How to compile and Transfer image to Target http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys

More information

untitled

untitled Memory leak Resource 力 金 3-tier 見 Out of Memory( 不 ) Memory leak( 漏 ) 狀 Application Server Crash 理 Server 狀 Crash 類 JVM 說 例 行說 說 Memory leak Resource Out of Memory Memory leak Out of Memory 不論 Java heap

More information

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx

Microsoft PowerPoint Android-SDK설치.HelloAndroid(1.0h).pptx To be an Android Expert 문양세강원대학교 IT 대학컴퓨터학부 Eclipse (IDE) JDK Android SDK with ADT IDE: Integrated Development Environment JDK: Java Development Kit (Java SDK) ADT: Android Development Tools 2 JDK 설치 Eclipse

More information

chapter4

chapter4 Basic Netw rk 1. ก ก ก 2. 3. ก ก 4. ก 2 1. 2. 3. 4. ก 5. ก 6. ก ก 7. ก 3 ก ก ก ก (Mainframe) ก ก ก ก (Terminal) ก ก ก ก ก ก ก ก 4 ก (Dumb Terminal) ก ก ก ก Mainframe ก CPU ก ก ก ก 5 ก ก ก ก ก ก ก ก ก ก

More information

본문서는 초급자들을 대상으로 최대한 쉽게 작성하였습니다. 본문서에서는 설치방법만 기술했으며 자세한 설정방법은 검색을 통하시기 바랍니다. 1. 설치개요 워드프레스는 블로그 형태의 홈페이지를 빠르게 만들수 있게 해 주는 프로그램입니다. 다양한 기능을 하는 플러그인과 디자인

본문서는 초급자들을 대상으로 최대한 쉽게 작성하였습니다. 본문서에서는 설치방법만 기술했으며 자세한 설정방법은 검색을 통하시기 바랍니다. 1. 설치개요 워드프레스는 블로그 형태의 홈페이지를 빠르게 만들수 있게 해 주는 프로그램입니다. 다양한 기능을 하는 플러그인과 디자인 스마일서브 CLOUD_Virtual 워드프레스 설치 (WORDPRESS INSTALL) 스마일서브 가상화사업본부 Update. 2012. 09. 04. 본문서는 초급자들을 대상으로 최대한 쉽게 작성하였습니다. 본문서에서는 설치방법만 기술했으며 자세한 설정방법은 검색을 통하시기 바랍니다. 1. 설치개요 워드프레스는 블로그 형태의 홈페이지를 빠르게 만들수 있게

More information

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드]

Microsoft PowerPoint - ICCAD_Digital_lec02.ppt [호환 모드] IC-CAD CAD 실험 Lecture 2 장재원 주문형반도체 (ASIC * ) 설계흐름도개요 Lecture 1 REVIEW ASIC Spec. Front-end design Logic design Logic synthesis Behavioral-level design Structural-level design Schematic editor *Analog 회로설계시

More information

ETL_project_best_practice1.ppt

ETL_project_best_practice1.ppt ETL ETL Data,., Data Warehouse DataData Warehouse ETL tool/system: ETL, ETL Process Data Warehouse Platform Database, Access Method Data Source Data Operational Data Near Real-Time Data Modeling Refresh/Replication

More information

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨

목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시 주의사항... 5 2.2 설치 권고 사양... 5 2.3 프로그램 설치... 6 2.4 하드웨 최종 수정일: 2010.01.15 inexio 적외선 터치스크린 사용 설명서 [Notes] 본 매뉴얼의 정보는 예고 없이 변경될 수 있으며 사용된 이미지가 실제와 다를 수 있습니다. 1 목차 제 1 장 inexio Touch Driver소개... 3 1.1 소개 및 주요 기능... 3 1.2 제품사양... 4 제 2 장 설치 및 실행... 5 2.1 설치 시

More information

Microsoft Word - Armjtag_문서1.doc

Microsoft Word - Armjtag_문서1.doc ARM JTAG (wiggler 호환 ) 사용방법 ( IAR EWARM 에서 ARM-JTAG 로 Debugging 하기 ) Test Board : AT91SAM7S256 IAR EWARM : Kickstart for ARM ARM-JTAG : ver 1.0 ( 씨링크테크 ) 1. IAR EWARM (Kickstart for ARM) 설치 2. Macraigor

More information

Copyright 0, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT RIGHTS Programs, software, databases, and related

Copyright 0, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT RIGHTS Programs, software, databases, and related Virtual Machine Sun Fire X4800 M : E4570 0 8 Copyright 0, Oracle and/or its affiliates. All rights reserved.,.,,,,,,,,,,,,.,...,. U.S. GOVERNMENT RIGHTS Programs, software, databases, and related documentation

More information

Libero Overview and Design Flow

Libero Overview and Design Flow Libero Overview and Design Flow Libero Integrated Orchestra Actel Macro Builder VDHL& VeriogHDL Editor ViewDraw Schematic Entry Synplicify for HDL Synthesis Synapticad Test Bench Generator ModelSim

More information

DR-M140 사용 설명서

DR-M140 사용 설명서 사용 설명서 본 스캐너를 사용하기 전에 이 설명서를 읽으십시 오. 이 설명서를 다 읽은 후에는 이후에 참조할 수 있 도록 안전한 곳에 보관하십시오. 보증과 A/S 이 제품에는 보증서가 있습니다. 보증서는 구매처에서 받을 수 있습니다. 구매한 날짜 구매처 등의 기 입을 확인한 후 내용을 정확히 읽고 잘 보관하십시오. 보증기간 보증기간은 구매한 날로부터 1년 입니다.

More information

untitled

untitled PowerBuilder 連 Microsoft SQL Server database PB10.0 PB9.0 若 Microsoft SQL Server 料 database Profile MSS 料 (Microsoft SQL Server database interface) 行了 PB10.0 了 Sybase 不 Microsoft 料 了 SQL Server 料 PB10.0

More information

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L

2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G L HXR-NX3D1용 3D 워크플로 가이드북 2011년 10월 초판 c 2011 Sony Corporation. All rights reserved. 서면 허가 없이 전체 또는 일부를 복제하는 것을 금합니다. 기능 및 규격은 통보 없이 변경될 수 있습니다. Sony와 Sony 로고는 Sony의 상표입니다. G Lens, Exmor, InfoLITHIUM, Memory

More information

The Self-Managing Database : Automatic Health Monitoring and Alerting

The Self-Managing Database : Automatic Health Monitoring and Alerting The Self-Managing Database : Automatic Health Monitoring and Alerting Agenda Oracle 10g Enterpirse Manager Oracle 10g 3 rd Party PL/SQL API Summary (Self-Managing Database) ? 6% 6% 12% 55% 6% Source: IOUG

More information

4S 1차년도 평가 발표자료

4S 1차년도 평가 발표자료 모바일 S/W 프로그래밍 안드로이드개발환경설치 2012.09.05. 오병우 모바일공학과 JDK (Java Development Kit) SE (Standard Edition) 설치순서 Eclipse ADT (Android Development Tool) Plug-in Android SDK (Software Development Kit) SDK Components

More information

Product A4

Product A4 2 APTIV Film Versatility and Performance APTIV Film Versatility and Performance 3 4 APTIV Film Versatility and Performance APTIV Film Versatility and Performance 5 PI Increasing Performance PES PPSU PSU

More information

목차 BUG DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4

목차 BUG DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4 ALTIBASE HDB 6.5.1.5.10 Patch Notes 목차 BUG-46183 DEQUEUE 의 WAIT TIME 이 1 초미만인경우, 설정한시간만큼대기하지않는문제가있습니다... 3 BUG-46249 [qp-select-pvo] group by 표현식에있는컬럼을참조하는집합연산이존재하지않으면결괏값오류가발생할수있습니다... 4 BUG-46266 [sm]

More information

untitled

untitled 시스템소프트웨어 : 운영체제, 컴파일러, 어셈블러, 링커, 로더, 프로그래밍도구등 소프트웨어 응용소프트웨어 : 워드프로세서, 스프레드쉬트, 그래픽프로그램, 미디어재생기등 1 n ( x + x +... + ) 1 2 x n 00001111 10111111 01000101 11111000 00001111 10111111 01001101 11111000

More information

untitled

untitled MDEP I&C 2009. 4.6 ~ 4.7 14 I. MDEP II. DICWG III. DICWG SW IV. Nuclear Safety Information Conference 2009 Slide -2- I. MDEP MDEP? Multinational Design Evaluation Program MDEP Nuclear Safety Information

More information

MS-SQL SERVER 대비 기능

MS-SQL SERVER 대비 기능 Business! ORACLE MS - SQL ORACLE MS - SQL Clustering A-Z A-F G-L M-R S-Z T-Z Microsoft EE : Works for benchmarks only CREATE VIEW Customers AS SELECT * FROM Server1.TableOwner.Customers_33 UNION ALL SELECT

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 (Host) set up : Linux Backend RS-232, Ethernet, parallel(jtag) Host terminal Target terminal : monitor (Minicom) JTAG Cross compiler Boot loader Pentium Redhat 9.0 Serial port Serial cross cable Ethernet

More information

Microsoft PowerPoint - CPLD_수정1.pptx

Microsoft PowerPoint - CPLD_수정1.pptx Xilinx ISE Design Suite 13.1 대진대학교전자공학과 1. 프로젝트생성하기 Xilinx ISE Design Suite 13.1 을실행한다. 새로운프로젝트생성을위해 File New Project 를클릭한다. 1. 프로젝트생성하기 New Project Wizard 창에서기본설정을마치고 Next 를클릭한다. 프로젝트이름 프로젝트생성경로 프로젝트설명

More information

#KM560

#KM560 KM-560 KM-560-7 PARTS BOOK KM-560 KM-560-7 INFORMATION A. Parts Book Structure of Part Book Unique code by mechanism Unique name by mechanism Explode view Ref. No. : Unique identifcation number by part

More information

K7VT2_QIG_v3

K7VT2_QIG_v3 1......... 2 3..\ 4 5 [R] : Enter Raid setup utility 6 Press[A]keytocreateRAID RAID Type: JBOD RAID 0 RAID 1: 2 7 " RAID 0 Auto Create Manual Create: 2 RAID 0 Block Size: 16K 32K

More information

DocsPin_Korean.pages

DocsPin_Korean.pages Unity Localize Script Service, Page 1 Unity Localize Script Service Introduction Application Game. Unity. Google Drive Unity.. Application Game. -? ( ) -? -?.. 준비사항 Google Drive. Google Drive.,.. - Google

More information

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,,,,,,,,,,,,,.,..., U.S. GOVERNMENT END USERS. Oracle programs, including any operat

Copyright 2012, Oracle and/or its affiliates. All rights reserved.,,,,,,,,,,,,,.,..., U.S. GOVERNMENT END USERS. Oracle programs, including any operat Sun Server X3-2( Sun Fire X4170 M3) Oracle Solaris : E35482 01 2012 9 Copyright 2012, Oracle and/or its affiliates. All rights reserved.,,,,,,,,,,,,,.,..., U.S. GOVERNMENT END USERS. Oracle programs, including

More information

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66

MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 Mentor MCM, PCB 1999, 03, 13 KAIST EE Terahertz Media & System Laboratory MCM, PCB (mentor) : da& librarian jakup & package jakup & layout jakup & fablink jakup & Summary 2 / 66 1999 3 13 ~ 1999 3 14 :

More information

Something that can be seen, touched or otherwise sensed

Something that can be seen, touched or otherwise sensed Something that can be seen, touched or otherwise sensed Things about an object Weight Height Material Things an object does Pen writes Book stores words Water have Fresh water Rivers Oceans have

More information

(SW3704) Gingerbread Source Build & Working Guide

(SW3704) Gingerbread Source Build & Working Guide (Mango-M32F4) Test Guide http://www.mangoboard.com/ http://cafe.naver.com/embeddedcrazyboys Crazy Embedded Laboratory www.mangoboard.com cafe.naver.com/embeddedcrazyboys CRZ Technology 1 Document History

More information

CPX-E-EC_BES_C_ _ k1

CPX-E-EC_BES_C_ _ k1 CPX-E CPX-E-EC EtherCAT 8071155 2017-07 [8075310] CPX-E-EC CPX-E-EC-KO EtherCAT, TwinCAT (). :, 2 Festo CPX-E-EC-KO 2017-07 CPX-E-EC 1... 4 1.1... 4 1.2... 4 1.3... 4 1.4... 5 1.5... 5 2... 6 2.1... 6

More information

Microsoft Word - Automap3

Microsoft Word - Automap3 사 용 설 명 서 본 설명서는 뮤직메트로에서 제공합니다. 순 서 소개 -------------------------------------------------------------------------------------------------------------------------------------------- 3 제품 등록 --------------------------------------------------------------------------------------------------------------------------------------

More information

Manufacturing6

Manufacturing6 σ6 Six Sigma, it makes Better & Competitive - - 200138 : KOREA SiGMA MANAGEMENT C G Page 2 Function Method Measurement ( / Input Input : Man / Machine Man Machine Machine Man / Measurement Man Measurement

More information

슬라이드 1

슬라이드 1 전자정부개발프레임워크 1 일차실습 LAB 개발환경 - 1 - 실습목차 LAB 1-1 프로젝트생성실습 LAB 1-2 Code Generation 실습 LAB 1-3 DBIO 실습 ( 별첨 ) LAB 1-4 공통컴포넌트생성및조립도구실습 LAB 1-5 템플릿프로젝트생성실습 - 2 - LAB 1-1 프로젝트생성실습 (1/2) Step 1-1-01. 구현도구에서 egovframe>start>new

More information

歯DCS.PDF

歯DCS.PDF DCS 1 DCS - DCS Hardware Software System Software & Application 1) - DCS System All-Mighty, Module, ( 5 Mbps ) Data Hardware : System Console : MMI(Man-Machine Interface), DCS Controller :, (Transmitter

More information

API 매뉴얼

API 매뉴얼 PCI-TC03 API Programming (Rev 1.0) Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information