<4D F736F F F696E74202D203131C1D6C2F7202D E6FB8A620C0CCBFEBC7D120C4B3B8AFC5CD204C43442C C1A6BEEEC7CFB1E2205

Size: px
Start display at page:

Download "<4D F736F F F696E74202D203131C1D6C2F7202D E6FB8A620C0CCBFEBC7D120C4B3B8AFC5CD204C43442C C1A6BEEEC7CFB1E2205"

Transcription

1 강의내용 Ⅰ Arduino 를이용한캐릭터 LCD, VFD 제어하기 1

2 1. 소개 소개 - CDS 와디지털입출력포트그중에서도 PWM 포트를사용하여 LED 를 통하여아날로그출력을해보려고함. - 이번강좌를통해여러가지센서들을응용함에많은도움이될것임. 2

3 1. 소개 - 요즘 LCD와관련하여많은제품들이나오고있음. 종류도다양하고크기도다양함. - 이번강의에서는아두이노를이용하여 LCD와 VFD를제어해보려고함. - LCD를제어하기위해서는복잡한코딩을하여야하지만친절하게도기본적으로헤더파일이아두이노프로그램에포함되어있기때문에헤더파일선언만해주면간단하게 LCD를제어할수있음 3

4 1. 소개 LCD - LCD(Liquid Crystal display) 는 liquid crystal 즉액정이라는아이를이용한빛의조절로우리가 tv나모니터등에화려한색체등을볼수있게하는것. - 다시말해, 인가전압에따른액정의투과도의변화를이용하여각종장치에서발생되는여러가지전기적인정보를시각정보로변화시켜전달하는전기소자 4

5 1. 소개 VFD - VFD는음극 (Cathode), 그리드 (Grid), 양극 (Anode) 의 3종류의전극 (3극진공관 ) 성되며, 음극에서방출된전자를그리드에서조절하여양극에충둘시킴. - 이때, 패턴화된양극위에도포된형광체를저속의전자선으로발광시킴으로원하는표시를나타내는발광형표시장치. - 간단히말하면발생한열전자가그리드를통과해세그먼트에부딪치면세그먼트의형광물질이빛이나면서표시가됨. 5

6 2. LCD 회로구성및출력하기 2.1 LCD 회로 -일반적으로아래사진과같이 14개핀으로구성되어진 LCD이면데이터시트도한거의똑같다고보면됨. - 아래는 16X4 LCD 임. 16X2 또는그이상의 LCD 도핀이 14 개이면모두다같음 6

7 2. LCD 회로구성및출력하기 2.2 LCD 핀구성 -백라이트(GND, VCC 보통 15, 16핀 ) 를제외한 14개의핀으로구성된 LCD는모두다오른쪽데이터시트와동일함. -이중에서사용되어지는핀은 7, 8, 9, 10번핀을제외한나머지핀은다사용. -LCD를출력할때데이터신호 8개를다사용하여 8bit로출력을해도되지만 4bit로도출력이얼마든지가능하기때문에 4개의핀만사용함. -아두이노의핀은한정되어있기때문에핀이남으면그만큼다른센서들을연결할수있음 -8개보단 4개의핀으로제어하는것을추천 7

8 2. LCD 회로구성및출력하기 2.3 아두이노와 LCD 핀연결 8

9 2. LCD 회로구성및출력하기 2.3 아두이노와 LCD 핀연결 - LCD 의핀과오른쪽의아두이노핀을서로연결해주면됨 - 예를들어 LCD 의 1 번핀은아두이노의 GND 에 LCD 의 11 번핀은아두이노의 10 번핀에연결해주면됨 9

10 2. LCD 회로구성및출력하기 2.3 아두이노와 LCD 핀연결 #include <LiquidCrystal.h> // LiquidCrystal display with; // rs on pin 4 // enable on pin 9 // d4, d5, d6, d7 on pins 10, 11, 12, 13 LiquidCrystal lcd(4, 9, 10, 11, 12, 13); <?xml:namespace prefix = 0 /><?xml:namespace prefix = 0/> void setup() { 10

11 2. LCD 회로구성및출력하기 2.3 아두이노와 LCD 핀연결 lcd.bigin(16, 4); // LCD의행, 열액정번호설정. LCD의사이즈는 16X4임. } void loop() { lcd.setcursor(0,0) //LCD 1행에맨왼쪽부터출력 lcd.print( hello ); lcd.setcursor(0,1) //LCD 2행에맨왼쪽부터출력 lcd.print( hi, arduino ); 11

12 2. LCD 회로구성및출력하기 2.3 아두이노와 LCD 핀연결 lcd.setcursor(-4,2) //LCD 3행에맨왼쪽부터출력 lcd.print( Mediaflow ); lcd.setcursor(-4,3) //LCD 4행에맨왼쪽부터출력 lcd.print( Mokwon ); } 12

13 2. LCD 회로구성및출력하기 2.3 아두이노와 LCD 핀연결 -LCD와관련된라이브러리는 LiquidCrystal.h인에있음. 반드시선언해줘야함. -주석대로차례대로핀번호를넣어주어야함. -lcd.begin은 LCD의설정해주는부분으로이부분이없으면출력이잘안됨. -setcursor(0,1) 은어디위치에문자를출력할건지위치를정해줌. 0,1은 2번째열에출력을하라는의미임. -LCD는다음줄로자동으로넘어가지않기때문에출력위치를정해줘야함. 13

14 2. LCD 회로구성및출력하기 2.4 정리 lcd.setcursor( 열, 행 ); - 0, 0이면첫번째줄맨왼쪽에출력하라는의미임. -16X4에서는 0부터시작했으니마지막행은 3 lcd.begin(16,4); -LCD의사이즈를설정하는것으로이이상넘어가버리면출력이되지않거나글시가깨져버림. -같은열에다른문을쓰고싶다면 lcd.setcursor(0,0); lcd.print( ); - 이렇게중간에 16 칸의빈칸을만들고그위에덮어씌어야글씨가남아있지않음 14

15 2. LCD 회로구성및출력하기 2.4 정리 - LiquidCrystal.h 라이브러리는아두이노에서기본적으로제공해줌 - 기본적으로 16X2 LCD는출력위치를 lcd.setcursor(0,0); 으로하면 1번째행왼쪽에출력이됨. - 하지만, 16X4 LCD에서 3번째행에 lcd.setcursor(0,2); 라고출력하면 3번째행에출력은되지만 4칸뒤에출력이됨. - lcd.setcursor(0,3) 도아래와같이출력됨. 15

16 2. LCD 회로구성및출력하기 2.4 정리 - 3 번째 4 번째행은시작이 0 부터가아닌 -4 부터시작을해야지제대로출력됨 16

17 2. LCD 회로구성및출력하기 2.4 정리 - 유념할부분은 lcd.setcursor( 열, 행 ); lcd.print( 16 개이하의글씨 ); - 이렇게순서대로출력할위치 + 출력글자로사용해야함. - 출력할위치를적지않으면기본 0X1 즉첫번째행에첫번째칸에출력이됨. - 다른글씨를사용할때는무조건 16 개의빈칸을한번덮어씌우면됨. 17

18 3. VFD 회로구성및출력하기 3.1 CFD 회로 - 이것이 16X2 VFD 임. 보기에는날로비슷하게생긴거같기도하고 LCD 보단있어보임. 바로 이것을가지고출력을할것임. - 핀순서는 18

19 3. VFD 회로구성및출력하기 3.2 VFD 핀정보 19

20 3. VFD 회로구성및출력하기 3.3 VFD 핀순서 20

21 3. VFD 회로구성및출력하기 3.3 VFD 핀순서 21

22 3. VFD 회로구성및출력하기 출력해주는방식만틀리지 3.3 코딩 제어방법과회로구성은 LCD와똑같음 #include <LiquidCrystal.h> // LiquidCrystal display with: // rs on pin 4 // enable on pin 9 // d4, d5, d6, d7 on pins 10, 11, 12, 13 <?xml:namespace prefix = o /> void setup() { lcd.begin(16,2); //VFD의행, 열액정번호설정은사용된 VFD으사이즈는 16X2임 } 22

23 3. VFD 회로구성및출력하기 3.3 코딩 void loop() { lcd.setcursor(0,0); // VFD 1행에맨왼쪽부터출력 lcd.print( hello! ); lcd.setcursor(0,1); // VFD 2행에맨왼쪽부터출력 lcd.print( hi, arduino ); } 23

24 3. VFD 회로구성및출력하기 3.4 실행결과 24

25 4. 결론 - 어떻게응용하고어떻게쓰느냐에따라여러가지로표현할수있음 - 복작해보이기는하지만아두이노가제공해주는라이브러리를이용해서정말쉽게! LCD 와 VFD 를 제어해보았습니다. 25

26 5. 참고자료 - 프로토쉴드 26

27 5. 참고자료 -LCD 와프로토쉴드를바로여결한모습파란색의가변저항이있어볼륨을달지않아도밝기조절을할 수있음 27

28 5. 참고자료 - 핀배열이다른 VFD 는순서대로프로토쉴드에연결을하여사용 28

29 29

Microsoft PowerPoint - es-arduino-lecture-03

Microsoft PowerPoint - es-arduino-lecture-03 임베디드시스템개론 : Arduino 활용 Lecture #3: Button Input & FND Control 2012. 3. 25 by 김영주 강의목차 디지털입력 Button switch 입력 Button Debounce 7-Segment FND : 직접제어 7-Segment FND : IC 제어 2 디지털입력 : Switch 입력 (1) 실습목표 아두이노디지털입력처리실습

More information

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #5: Text LCD 출력하기 2012. 4. 6 by 김영주 강의목차 Text LCD 장치개요 간단한 Text LCD 출력테스트 Text LCD 인터페이스신호줄이기 아두이노라이브러리개요 LiquidCrystal 라이브러리 2 Text LCD 출력장치 (1) Text LCD 출력장치 ASCII 코드를입력받아영문자를출력하는장치주로

More information

차시 AS_02 대상 교육주제아두이노 LED 및버튼제어프로젝트교육시간 120 분 1. 아두이노를이용하여 LED 를제어할수있다. 교육목표 2. 아두이노를이용하여삼색 LED 를제어할수있다. 3. 아두이노에서버튼입력을수행하여프로그램에연동할수있다. 장비류는미리배분하고, 재료는

차시 AS_02 대상 교육주제아두이노 LED 및버튼제어프로젝트교육시간 120 분 1. 아두이노를이용하여 LED 를제어할수있다. 교육목표 2. 아두이노를이용하여삼색 LED 를제어할수있다. 3. 아두이노에서버튼입력을수행하여프로그램에연동할수있다. 장비류는미리배분하고, 재료는 차시 AS_02 대상 교육주제아두이노 LED 및버튼제어프로젝트교육시간 120 분 1. 아두이노를이용하여 LED 를제어할수있다. 교육목표 2. 아두이노를이용하여삼색 LED 를제어할수있다. 3. 아두이노에서버튼입력을수행하여프로그램에연동할수있다. 장비류는미리배분하고, 재료는각실험단계에서배분한다. Arduino 1.0 USB 케이블 1.0 교육자료 ( 준비물 ) 300Ω

More information

시프트 레지스터 Shift Resistor 자, 이제 LED MATRIX 8x8 Board를 마이크로컨트롤러에 연결된 3개의 선으 로 제어해 보자. 이는 마이크로컨트롤러의 포트를 확장함과 동시에 프로그램 으로 제어를 더 쉽게 한다는 장점이 있다. 물론 포트를 절약하게

시프트 레지스터 Shift Resistor 자, 이제 LED MATRIX 8x8 Board를 마이크로컨트롤러에 연결된 3개의 선으 로 제어해 보자. 이는 마이크로컨트롤러의 포트를 확장함과 동시에 프로그램 으로 제어를 더 쉽게 한다는 장점이 있다. 물론 포트를 절약하게 Physical Computing for Artists & Designers 연세대학교디지털아트학과 Earl Park 시프트 레지스터 Shift Resistor 자, 이제 LED MATRIX 8x8 Board를 마이크로컨트롤러에 연결된 3개의 선으 로 제어해 보자. 이는 마이크로컨트롤러의 포트를 확장함과 동시에 프로그램 으로 제어를 더 쉽게 한다는 장점이 있다.

More information

Microsoft Word - PEB08_USER_GUIDE.doc

Microsoft Word - PEB08_USER_GUIDE.doc 0. PEB08 이란? PEB08(PIC EVALUATION BOARD 8bits) 은 Microchip 8bit Device 개발을쉽고편리하게할수있는보드입니다. 1. 다양한 8bit Device 지원 기존대부분의 8bit 보드의경우일부 Pin-Count만지원을하였지만, PEB08은 PIC10, PIC12, PIC16, PIC18의 DIP Type Package의모든

More information

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx

Microsoft PowerPoint - chap02-C프로그램시작하기.pptx #include int main(void) { int num; printf( Please enter an integer "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을 작성하면서 C 프로그램의

More information

목차 1 아두이노와이어링 & 코딩 1-1 아두이노초보자를위한 아두이노와이어링과코딩에의한 LED ON OFF 12 Coding_LED_ON_OFF_ 아두이노초보자에게위험스러운 아두이노코딩에의한 LED

목차 1 아두이노와이어링 & 코딩 1-1 아두이노초보자를위한 아두이노와이어링과코딩에의한 LED ON OFF 12 Coding_LED_ON_OFF_ 아두이노초보자에게위험스러운 아두이노코딩에의한 LED 목차 1 아두이노와이어링 & 코딩 1-1 아두이노초보자를위한 아두이노와이어링과코딩에의한 LED ON OFF 12 Coding_LED_ON_OFF_01 http://blog.daum.net/ejleep1/360 1-2 아두이노초보자에게위험스러운 아두이노코딩에의한 LED ON OFF 16 Coding_Dangerous_LED_ON_OFF_01 http://blog.daum.net/ejleep1/370

More information

Microsoft Word - logic2005.doc

Microsoft Word - logic2005.doc 제 8 장 Counters 실험의목표 - Catalog counter 의동작원리에대하여익힌다. - 임의의 counter를통하여 FSM 구현방법을익힌다. - 7-segment display 의동작원리를이해한다. 실험도움자료 1. 7-segment display 7-segment는디지털회로에서숫자를표시하기위하여가장많이사용하는소자이다. 이름에서알수있듯이 7개의 LED(

More information

Example: LED flashlight

Example: LED flashlight Example: LED flashlight current flow 500 wiring diagram schematic wiring it up Electricity flows in a loop. Can stop flow by breaking the loop Hello, World! in Arduino 실습목표 LED 켜기프로그램실습 젂자부품 LED 및저항사용법이해

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F >

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202839C1D6C2F7207E203135C1D6C2F > 10주차 문자 LCD 의인터페이스회로및구동함수 Next-Generation Networks Lab. 5. 16x2 CLCD 모듈 (HY-1602H-803) 그림 11-18 19 핀설명표 11-11 번호 분류 핀이름 레벨 (V) 기능 1 V SS or GND 0 GND 전원 2 V Power DD or V CC +5 CLCD 구동전원 3 V 0 - CLCD 명암조절

More information

가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을

가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을 CHAPTER 5 도트매트릭스제어하기 가. 도트매트릭스제어하기 가. 도트매트릭스제어하기 단일 LED와는다르게도트매트릭스를구동시는구동전류가프로세서에서출력되는전류보다사용량을더많이필요하기때문에 TTL 계열의 IC로구동시키기에는무리가따른다. 이러한문제를해결하기위해서사용전압, 전류정격이높은달링턴트랜지스터가어레이형태로들어있는 ULN2803을이용한다. 이 IC에는 8개의

More information

아두이노우노 R3 호환보드용 CH340 USB 드라이버. 위의 IDE 에서드라이버를제공합니다. 아두이노우노 R3 호환보드용 CH340 USB 드라이버다운로드 소프트웨어설명 :CH340 / 시리얼 WINDOWS 드라이버설치패키지에 CH341 의 USB 는 32/64 비트

아두이노우노 R3 호환보드용 CH340 USB 드라이버. 위의 IDE 에서드라이버를제공합니다. 아두이노우노 R3 호환보드용 CH340 USB 드라이버다운로드 소프트웨어설명 :CH340 / 시리얼 WINDOWS 드라이버설치패키지에 CH341 의 USB 는 32/64 비트 아두이노미세먼지측정기 3D KIT 만들기 아두이노우노를보드와미세먼지센서를활용미세먼지 (PM2.5) 를측정하고, 온습도센서 (DHT11) 로현재의온도와습도를측정하여 LCD 에출력 ( 표시 ) 하는 3D 키트입니다. 미세먼지정보 : 대기오염실시간공개시스템 ) (airkorea.or.kr) 바로가기 ~ 미세먼지는황사보다작은 10 μm이하로입자의크기에따라 PM10

More information

ARDUINO Open Physical Computing Platform 오탈자, 문의및보완이필요한내용은 으로알려주세요.

ARDUINO Open Physical Computing Platform 오탈자, 문의및보완이필요한내용은 으로알려주세요. ARDUINO Open Physical Computing Platform 오탈자, 문의및보완이필요한내용은 으로알려주세요. Chapter 20. I2C 와 SPI 통신을이용한아두이노연결 SPI(Serial Peripheral Interface) 는 I2C(Inter-Integrated Circuit) 와더불어마이크로컨트롤러와주변장치사이에디지털정보를간편하게전송할수있는방법을제공하기위해만들어진통신프로토콜이다.

More information

ü ü ü #include #include #include #include Servo servoleft; Servo servoright; int sensorvalue1, sensorvalue2; // 각각앞쪽과뒤쪽의조도센서 int voltage, voltage2;

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 유니티와아두이노를활용한 VR 컨트롤러개발 Part 06 헬로앱스코딩교육 김영준 공학박사, 목원대학교겸임교수前 Microsoft 수석연구원 splduino@gmail.com http://www.helloapps.co.kr 키보드로물체생성하기 키보드로물체생성하기 public GameObject CubeObject; public GameObject MyCamera;

More information

CT200_QSG_JP

CT200_QSG_JP Quick Start Guide CT00 Microprocessor-Controlled 8-in- Cable Tester 6 CT00 7 빠른시작가이드 CT00 컨트롤 (6) (7) (9) (0) () () (5) () () () () () (4)(5) (6) (7) (8) (4) (8) (9) (0) 8 CT00 9 빠른시작가이드 CT00 컨트롤 단계 :

More information

목차 1 아두이노코딩 1-1 아두이노초보자를위한 아두이노코딩에의한 LED ON OFF 14 Coding_LED_ON_OFF_ 아두이노초보자에게위험스러운 아두이노코딩에의한 LED ON OFF 18 Co

목차 1 아두이노코딩 1-1 아두이노초보자를위한 아두이노코딩에의한 LED ON OFF 14 Coding_LED_ON_OFF_ 아두이노초보자에게위험스러운 아두이노코딩에의한 LED ON OFF 18 Co 목차 1 아두이노코딩 1-1 아두이노초보자를위한 아두이노코딩에의한 LED ON OFF 14 Coding_LED_ON_OFF_01 http://blog.daum.net/ejleep1/360 1-2 아두이노초보자에게위험스러운 아두이노코딩에의한 LED ON OFF 18 Coding_Dangerous_LED_ON_OFF_01 http://blog.daum.net/ejleep1/370

More information

<4F B8A620C0CCBFEBC7D120C8B8B7CE20C0DBBCBAC0C720B1E2C3CA2E687770>

<4F B8A620C0CCBFEBC7D120C8B8B7CE20C0DBBCBAC0C720B1E2C3CA2E687770> Orcad 9.2 capture CIS(Component Information System) 을이용한회로도작성의기초 한국해양대학교지능로봇및자동화실험실 1. 시작 모든프로그램 Orcad Family Release 9.2 Capture CIS 를선택하여프로그램을실행시킨다. 2. New Project를선택하여프로젝트생성윈도우를띄우고나서, 오른쪽의그림예시와같이프로젝트명과디렉토리를설정해준다.

More information

<4D F736F F F696E74202D20325FBEC6B5CEC0CCB3EB20B1E2C3CABDC3C7E82E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20325FBEC6B5CEC0CCB3EB20B1E2C3CABDC3C7E82E BC8A3C8AF20B8F0B5E55D> 아두이노사용해보기 http://arduino.cc/ 에서먼저프로그램을다운로드하여설치합니다. 시작이반이다 라는옛말이있죠? MCU 사용에있어서도개발환경구축하고, LED 하나깜박여보면절반은끝난거나마찬가지죠. 뭐 ~ 일단 http://arduino.cc/ 에방문하여 Download 를누르고해당 OS ( 보통 Windows를사용하시죠?) 용을압축파일을다운로드 ( 용량이

More information

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조

학습목차 2.1 다차원배열이란 차원배열의주소와값의참조 - Part2- 제 2 장다차원배열이란무엇인가 학습목차 2.1 다차원배열이란 2. 2 2 차원배열의주소와값의참조 2.1 다차원배열이란 2.1 다차원배열이란 (1/14) 다차원배열 : 2 차원이상의배열을의미 1 차원배열과다차원배열의비교 1 차원배열 int array [12] 행 2 차원배열 int array [4][3] 행 열 3 차원배열 int array [2][2][3]

More information

매뉴얼

매뉴얼 USB-DIO12800 User s Manual Windows, Windows2000, Windows NT, Windows XP and Windows 7 are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations mentioned

More information

뉴티씨 (NEWTC) ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC ) 1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을

뉴티씨 (NEWTC)   ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC )   1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을 ATmega8 교육용모듈 (Model : AM-8PL ) 메뉴얼 ( 주 ) 뉴티씨 ( NEWTC ) 1. ATmega8 모듈 (AM-8PL) 소개 ATmega8 칩을이용한초소형모듈 AM-8PL에내장된 UART 포트로디버깅가능 ( 전원핀포함된뉴티씨 4핀 UART 배열 ) 8MHz 초소형크리스탈클럭채용으로안정성확보및 3.3V/5V 전원에모두동작함. 전원전압 (3.3V/5V)

More information

100_ATmega128_보드제작.hwp

100_ATmega128_보드제작.hwp ATmega 실습보드제작 (ATmega 세미나) 시니어컴 (www.seniorcom.co.kr) 다음카페 (http://cafe.daum.net/avr0) 안도랑 (ahndr@seniorcom.co.kr) . ATmega 보드 ATmega 보드(SC-ATmega-S) 는그림 과같다. 좌/ 우측의커넥터를잘확인하고회로도를참고하면서납땜을해야한다. 회로도에서 는 V를의미하고

More information

Microsoft PowerPoint - chap06-1Array.ppt

Microsoft PowerPoint - chap06-1Array.ppt 2010-1 학기프로그래밍입문 (1) chapter 06-1 참고자료 배열 박종혁 Tel: 970-6702 Email: jhpark1@snut.ac.kr 한빛미디어 출처 : 뇌를자극하는 C프로그래밍, 한빛미디어 -1- 배열의선언과사용 같은형태의자료형이많이필요할때배열을사용하면효과적이다. 배열의선언 배열의사용 배열과반복문 배열의초기화 유연성있게배열다루기 한빛미디어

More information

Microsoft Word - Lab.4

Microsoft Word - Lab.4 Lab. 1. I-V Lab. 4. 연산증폭기 Characterist 비 tics of a Dio 비교기 ode 응용 회로 1. 실험목표 연산증폭기를이용한비교기비교기응용회로를이해 응용회로를구성, 측정및평가해서연산증폭기 2. 실험회로 A. 연산증폭기비교기응용회로 (a) 기본비교기 (b) 출력제한 비교기 (c) 슈미트트리거 (d) 포화반파정류회로그림 4.1. 연산증폭기비교기응용회로

More information

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo

구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용 용이 TMS320F2808 ZGMS - 100MHz 탑재 : 확장된 온도규격 (-40 도 ~ 125 도) 적용 Ultralo TMS320F2808 UMD 모듈 Rev 1.0 (주) 싱크웍스 Korea Tel. 031-781-2810 Fax. 031-706-2834 E-mail. dsptools@syncworks.co.kr [1] page 구성품 - UMD 2808 모듈 - JTAG 커넥터 - 젂원 케이블 주요 특징 모듈의 부품화 : 고신뢰성의 DSP 모듈을 최적화된 시스템에 적용

More information

슬라이드 1

슬라이드 1 마이크로컨트롤러 2 (MicroController2) 2 강 ATmega128 의 external interrupt 이귀형교수님 학습목표 interrupt 란무엇인가? 기본개념을알아본다. interrupt 중에서가장사용하기쉬운 external interrupt 의사용방법을학습한다. 1. Interrupt 는왜필요할까? 함수동작을추가하여실행시키려면? //***

More information

UI TASK & KEY EVENT

UI TASK & KEY EVENT 2007. 2. 5 PLATFORM TEAM 정용학 차례 CONTAINER & WIDGET SPECIAL WIDGET 질의응답및토의 2 Container LCD에보여지는화면한개 1개이상의 Widget을가짐 3 Container 초기화과정 ui_init UMP_F_CONTAINERMGR_Initialize UMP_H_CONTAINERMGR_Initialize

More information

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee

Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee Chapter. 14 DAC 를이용한 LED 밝기제어 HBE-MCU-Multi AVR Jaeheung, Lee 목차 1. D/A 변환기 2. 병렬 D/A 변환기로 LED 밝기제어하기 3. 직렬 D/A 변환기로 LED 밝기제어하기 D/A 변환기 D/A 변환기 (Digital to Analog Converter) 디지털데이터를아날로그전압으로변환하는소자 A/D변환기와함께마이크로프로세서응용회로에서널리사용됨.

More information

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729>

<4D F736F F F696E74202D20B8B6C0CCC5A9B7CEC7C1B7CEBCBCBCAD202834C1D6C2F7207E2038C1D6C2F729> 8주차중간고사 ( 인터럽트및 A/D 변환기문제및풀이 ) Next-Generation Networks Lab. 외부입력인터럽트예제 문제 1 포트 A 의 7-segment 에초시계를구현한다. Tact 스위치 SW3 을 CPU 보드의 PE4 에연결한다. 그리고, SW3 을누르면하강 에지에서초시계가 00 으로초기화된다. 동시에 Tact 스위치 SW4 를 CPU 보드의

More information

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro

STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance fro STM32F2xx 시리즈의특성 Core: ARM 32-bit Cortex -M3 CPU with Adaptive real-time accelerator (ARTAccelerator ) allowing 0-wait state execution performance from Flash memory, frequency up to 120 MHz, memory protection

More information

Microsoft PowerPoint - OLED_vs_LCD.ppt [호환 모드]

Microsoft PowerPoint - OLED_vs_LCD.ppt [호환 모드] 디스플레이기술비교 : OLED 와 LCD 구조및동작원리, 특징비교 박기찬 명암비 (Contrast Ratio) 응답속도 (Response Time) 시야각 (Viewing Angle) Black Image OLED 는매우낮고균일한 black level 구현이가능하고, 시야각에따른빛샘도없음. AMOLED Black 휘도 구분 CR (Static) F.W.

More information

03_원격제어반_IDAC-2W

03_원격제어반_IDAC-2W IDAC-2W (Intelligent Distributed Automatic Controller) 1. 개요 IDAC-2W 는 HVAC 의공기조화기, 냉온수열원장비, BC 의저소음휀및기타설비장비를제어하기위한제어기기이다. LCD( Liquid Crystal Display) 가장착된이제어기기는장비의다양한제어기능및데이터통신기능을가지며, Key- Pad 를이용하여장비의운전및설정할수있다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 A 반 T2 - 김우빈 (201011321) 임국현 (201011358) 박대규 (201011329) Robot Vacuum Cleaner 1 Motor Sensor RVC Control Cleaner Robot Vaccum Cleaner 2 / Event Format/ Type Front Sensor RVC 앞의장애물의유무를감지한다. True / False,

More information

Microsoft Word doc

Microsoft Word doc 2. 디바이스드라이버 [ DIO ] 2.1. 개요 타겟보드의데이터버스를이용하여 LED 및스위치동작을제어하는방법을설명하겠다. 2.2. 회로도 2.3. 준비조건 ARM 용크로스컴파일러가설치되어있어야한다. 하드웨어적인점검을하여정상적인동작을한다고가정한다. NFS(Network File System) 를사용할경우에는 NFS가마운트되어있어야한다. 여기서는소스전문을포함하지않았다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 아두이노와 S4A 프로그램을 활용한로봇제어 이 시영 미래신기술중아두이노를활용하여할수있는것은? 명사로서로봇 (robot) 은다음의의미를지닌다. 기계 인간과비슷한형태를가지고걷기도하고말도하는기계장치. 인조인간. 기계 어떤작업이나조작을자동적으로하는기계장치. 남의지시대로움직이는사람을비유적으로이르는말. 하드웨어와소프트웨어를설계하여설계자가생각하는동적을체계적으로수행하는기계

More information

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구

유기 발광 다이오드의 전하주입 효율 향상을 통한 발광효율 향상 연구 - i - - ii - - iii - - iv - - v - - vi - 그림차례 - vii - - viii - - 1 - 5). - 2 - - 3 - 유기발광다이오드 ( 고분자또는저분자 ) 무기발광다이오드 (p-n junction LED) - + cathode ETL EML HTL HIL anode 발광 두께 : 100 ~ 200 nm 양극 ( 투명전극,

More information

(Microsoft PowerPoint - DC750WDRIVER.pptx [\300\320\261\342 \300\374\277\353])

(Microsoft PowerPoint - DC750WDRIVER.pptx [\300\320\261\342 \300\374\277\353]) 대용량 BLDC 모터 제어기 1. 특징 대용량 모터 제어에 적합한 DC전원용 BLDC 모터 드라이버 입니다. 전원 이중화 설계에 의하여 외부의 전기적 충격에 대한 신뢰성이 뛰어납니다. 모든 연결 케이블에 절연설계를 채택하여 내 노이즈 성능이 우수하며 긴 거리의 케이블에서도 성능을 유지합니다. 안전한 동작을 보장하기 위하여 각종 보호기능과 전류차단 기능을 탑재하였습니다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 Kut-128_comm_board Kit 설명서 KUT-128_Com 실험키트 KUT-128_Com 실험키트의기능 (1) 초음파센서 4CH 7- Segment 가속도센서 자이로센서 RS-232 Wi-Fi Bluetooth Tex-LCD ATmega128 8EA LED ISP Connector 3Color Dotmatrix 1Color Dotmatrix 4EA

More information

개요

개요 Application Note (003) 시리얼인터페이스 (RS232/RS422/RS485) Version 1.0 솔내시스템주식회사 1. 개요 는 RS232, RS422, RS485등 3개의시리얼인터페이스를지원합니다. 사용자는 의설정용유틸리티인 ezconfig를이용해서 3개의인터페이스중에서하나를선택하여설정할수있습니다. 1.1. RS232 Ground를기준으로한전압을이용해서통신하는형태입니다.

More information

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2

비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 비트연산자 1 1 비트와바이트 비트와바이트 비트 (Bit) : 2진수값하나 (0 또는 1) 를저장할수있는최소메모리공간 1비트 2비트 3비트... n비트 2^1 = 2개 2^2 = 4개 2^3 = 8개... 2^n 개 1 바이트는 8 비트 2 2 진수법! 2, 10, 16, 8! 2 : 0~1 ( )! 10 : 0~9 ( )! 16 : 0~9, 9 a, b,

More information

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog

뉴티씨 (NEWTC) 2. FB-CY4E-DEV (FPGA 개발 키트) 구성도 Port Select SW 7 Segment Text LCD UART RS-232C JTAG PORTA (5V) Active Serial PORTB (5V) OSC. 50MHz Analog 뉴티씨 (NEWTC) FPGA 개발 키트 (FB-CY4E-DEV) 매뉴얼 (주) 뉴티씨 ( NEWTC ) 1. FB-CY4E-DEV (FPGA 개발 키트) 소개 ALTERA 사의 FPGA(EP4CE6E22C8N)를 이용한 개발보드 입니다. USB 블래스터(FM-USBBLASTER) 를 이용하여 프로그램을 다운로드 가능 LCD, FND(7-Segment), 스위치

More information

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx

Microsoft Word - DCMD-1000 사용자 메뉴얼.docx DCDM-1000(Ver.1.0 DC모터 드라이버 (DCMD-1000) 사용 설명서 V1.0 Last updated : March 6, 2014 1 / 10 DCDM-1000(Ver.1.0) 목차 1 소개 및 특징 1.1 소개 1.2 사양 1.3 특징 2 DC모터 드라이버(DCMD-1000) 사용법 2.1 전체결선도 2.2 Pin 설명 및 모드 설정 방법 2.3

More information

0. 표지에이름과학번을적으시오. (6) 1. 변수 x, y 가 integer type 이라가정하고다음빈칸에 x 와 y 의계산결과값을적으시오. (5) x = (3 + 7) * 6; x = 60 x = (12 + 6) / 2 * 3; x = 27 x = 3 * (8 / 4

0. 표지에이름과학번을적으시오. (6) 1. 변수 x, y 가 integer type 이라가정하고다음빈칸에 x 와 y 의계산결과값을적으시오. (5) x = (3 + 7) * 6; x = 60 x = (12 + 6) / 2 * 3; x = 27 x = 3 * (8 / 4 Introduction to software design 2012-1 Final 2012.06.13 16:00-18:00 Student ID: Name: - 1 - 0. 표지에이름과학번을적으시오. (6) 1. 변수 x, y 가 integer type 이라가정하고다음빈칸에 x 와 y 의계산결과값을적으시오. (5) x = (3 + 7) * 6; x = 60 x

More information

OCW_C언어 기초

OCW_C언어 기초 초보프로그래머를위한 C 언어기초 2 장 : C 프로그램시작하기 2012 년 이은주 학습목표 을작성하면서 C 프로그램의구성요소 주석 (comment) 이란무엇인지알아보고, 주석을만드는방법 함수란무엇인지알아보고, C 프로그램에반드시필요한 main 함수 C 프로그램에서출력에사용되는 printf 함수 변수의개념과변수의값을입력받는데사용되는 scanf 함수 2 목차 프로그램코드

More information

Cortex-M 아두이노 프로그래밍 Cortex-M 아두이노 프로그래밍 4/2/2016 Written by Steve AHN 1/23

Cortex-M 아두이노 프로그래밍 Cortex-M 아두이노 프로그래밍 4/2/2016 Written by Steve AHN 1/23 4/2/2016 Written by Steve AHN 1/23 1, Arduino의 개발환경 1-1, 아두이노 다운로드 아두이노는 소스 공개형 전자개발 플랫폼으로써 누구나 쉽게 사용할 수있는 인터페이스를 제공함으로 써, 다양한 프로젝트를 쉽게 개발하게 하기 위하여 만들어 졌다. 아두이노에서는 전용 ISE(Integrated Software Environment)를

More information

Microsoft PowerPoint - es-arduino-lecture-08

Microsoft PowerPoint - es-arduino-lecture-08 임베디드시스템개론 : Arduino 활용 Lecture #8: IR Sensor 활용 2014. 5. 7 by 김영주 강의목차 Photodiode & Phototransistor 적외선을이용한이동체검출실험 적외선을이용한검은띠검출실험 IR Remote 원리 IR Remote 실험 2 3 1. Photodiode & Phototransistor Photodiode

More information

정보보안 개론과 실습:네트워크

정보보안 개론과 실습:네트워크 ` 마이크로프로세서설계및실습 12-13 주차강의자료 학습목표 A/D 변환기의제어방법을이해한다 능숙하게 A/D 변환기를제어할수있도록반복실습한다 2/28 아날로그 - 디지털변환회로 아날로그 - 디지털변환회로 (A/D 변환회로 ) 는, 아날로그전기신호를디지털전기신호로변환하는전자회로이다 A/D 컨버터 (ADC: Analog-to-digital converter) 라고도불린다

More information

슬라이드 1

슬라이드 1 프로세싱 광운대학교로봇학부박광현 프로세싱실행 2 C:\processing-3.2.1 폴더 창나타내기 실행 정지 3 폭 높이 600 400 도형그리기 배경칠하기 5 background(255, 255, 255); R G B background(255, 0, 0); background(255, 122, 0); 선그리기 6 background(255, 122, 0);

More information

<4D F736F F F696E74202D20B8AEB4AABDBA20BFC0B7F920C3B3B8AEC7CFB1E22E BC8A3C8AF20B8F0B5E55D>

<4D F736F F F696E74202D20B8AEB4AABDBA20BFC0B7F920C3B3B8AEC7CFB1E22E BC8A3C8AF20B8F0B5E55D> 리눅스 오류처리하기 2007. 11. 28 안효창 라이브러리함수의오류번호얻기 errno 변수기능오류번호를저장한다. 기본형 extern int errno; 헤더파일 라이브러리함수호출에실패했을때함수예 정수값을반환하는함수 -1 반환 open 함수 포인터를반환하는함수 NULL 반환 fopen 함수 2 유닉스 / 리눅스 라이브러리함수의오류번호얻기 19-1

More information

BlueChipÀÀ¿ë³ëÆ®-ver205.hwp

BlueChipÀÀ¿ë³ëÆ®-ver205.hwp BlueChip BASIC+C 제 2 부 BlueChip 응용노트 Version 1.2 ( 주 ) 테크마스 머리말 차 례 제 2 부 BlueChip 응용노트 0. 실험시공통사항 1 1. 포트출력길라잡이 : LED 구동 9 2. 스위치입력 15 3. 스위치입력 / LED 출력 17 4. 음악연주 19 5. 세븐세그먼트구동 21 6. 디지털온도센서-DS1620

More information

Microsoft PowerPoint - RPino-GOGO_datasheet.pptx

Microsoft PowerPoint - RPino-GOGO_datasheet.pptx RPino GOGO 사용자설명서 v1.0 Copyright c NulSom Inc. All Rights Reserved. 제품특징및사양 라즈베리파이 (RaspiberryPi) 에장착하여 PC 없이사용하는확장보드 Atmel사의 ATmega328P / 아두이노 (Arduino) 부트로더내장 라즈베리파이를통한아두이노스케치업로드가능 ( 스케치프로그램사용가능 ) 전용스케치로더

More information

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #9: IR Sensor 활용 강의목차 Photodiode & Phototransistor 적외선을이용한이동체검출실험 적외선을이용한검은띠검출실험 IR Remote 원리 IR Remote 실험 2 3 1. Photodiode & Phototransistor Photodiode & Phototransistor

More information

금오공대 컴퓨터공학전공 강의자료

금오공대 컴퓨터공학전공 강의자료 C 프로그래밍프로젝트 Chap 14. 포인터와함수에대한이해 2013.10.09. 오병우 컴퓨터공학과 14-1 함수의인자로배열전달 기본적인인자의전달방식 값의복사에의한전달 val 10 a 10 11 Department of Computer Engineering 2 14-1 함수의인자로배열전달 배열의함수인자전달방식 배열이름 ( 배열주소, 포인터 ) 에의한전달 #include

More information

[8051] 강의자료.PDF

[8051] 강의자료.PDF CY AC F0 RS1 RS0 OV - P 0xFF 0x80 0x7F 0x30 0x2F 0x20 0x1F 0x18 0x17 0x10 0x0F 0x08 0x07 0x00 0x0000 0x0FFF 0x1000 0xFFFF 0x0000 0xFFFF RAM SFR SMOD - - - GF1 GF0 PD IDL 31 19 18 9 12 13 14 15 1 2 3 4

More information

Microsoft PowerPoint - Java7.pptx

Microsoft PowerPoint - Java7.pptx HPC & OT Lab. 1 HPC & OT Lab. 2 실습 7 주차 Jin-Ho, Jang M.S. Hanyang Univ. HPC&OT Lab. jinhoyo@nate.com HPC & OT Lab. 3 Component Structure 객체 (object) 생성개념을이해한다. 외부클래스에대한접근방법을이해한다. 접근제어자 (public & private)

More information

(Microsoft PowerPoint - \301\24608\260\255 - \261\244\277\370\260\372 \300\347\301\372)

(Microsoft PowerPoint - \301\24608\260\255 - \261\244\277\370\260\372 \300\347\301\372) 게임엔진 제 8 강광원과재질 이대현교수 한국산업기술대학교게임공학과 학습목차 조명모델 광원의색상설정 재질 분산성분의이해 분산재질의구현 경반사성분의이해 경반사재질의구현 조명 (Illumination) 모델 조명모델 광원으로부터공간상의점들까지의조도를계산하는방법. 직접조명과전역조명 직접조명 (direct illumination) 모델 물체표면의점들이장면내의모든광원들로부터직접적으로받는빛만을고려.

More information

아날로그입력 Analog Input 작품이외부세계에관한정보를아날로그형태로읽어들이는경우. 센서를이용하는여러프로젝트들이이에속한다. 이를테면작품앞에있는사람의몸무게는어느정도인지, 방안의 조명은얼마나밝은지등을알고자하는경우가이에속한다. 예 ) 다양한센서들

아날로그입력 Analog Input 작품이외부세계에관한정보를아날로그형태로읽어들이는경우. 센서를이용하는여러프로젝트들이이에속한다. 이를테면작품앞에있는사람의몸무게는어느정도인지, 방안의 조명은얼마나밝은지등을알고자하는경우가이에속한다. 예 ) 다양한센서들 Physical Computing for Designers & Artists 연세대학교디지털아트학과 Earl Park 아날로그입력 Analog Input 작품이외부세계에관한정보를아날로그형태로읽어들이는경우. 센서를이용하는여러프로젝트들이이에속한다. 이를테면작품앞에있는사람의몸무게는어느정도인지, 방안의 조명은얼마나밝은지등을알고자하는경우가이에속한다. 예 ) 다양한센서들

More information

Microsoft Word - PLC제어응용-2차시.doc

Microsoft Word - PLC제어응용-2차시.doc 과정명 PLC 제어응용차시명 2 차시. 접점명령 학습목표 1. 연산개시명령 (LOAD, LOAD NOT) 에대하여설명할수있다. 2. 직렬접속명령 (AND, AND NOT) 에대하여설명할수있다. 3. 병렬접속명령 (OR, OR NOT) 에대하여설명할수있다. 4.PLC의접점명령을가지고간단한프로그램을작성할수있다. 학습내용 1. 연산개시명령 1) 연산개시명령 (LOAD,

More information

RaspberryPi 시리얼통신 1 제 08 강 시리얼통신제어 시리얼통신시리얼모니터링루프백시리얼통신 Win. PC와의시리얼통신가상머신과의시리얼통신아두이노보드와의시리얼통신 KUT51보드와의시리얼통신

RaspberryPi 시리얼통신 1 제 08 강 시리얼통신제어 시리얼통신시리얼모니터링루프백시리얼통신 Win. PC와의시리얼통신가상머신과의시리얼통신아두이노보드와의시리얼통신 KUT51보드와의시리얼통신 RaspberryPi 시리얼통신 1 제 08 강 시리얼통신제어 시리얼통신시리얼모니터링루프백시리얼통신 Win. PC와의시리얼통신가상머신과의시리얼통신아두이노보드와의시리얼통신 KUT51보드와의시리얼통신 시리얼통신 * Serial 활성화후재부팅 $ sudo raspi-config RaspberryPi 시리얼통신 2 $ sudo reboot : 시리얼통신을위한장치파일

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 아두이노를활용하여작품만들기 이시영 Ⅰ. 아두이노들어가기 스마트경인교육대학교러닝코딩과학영재광명교육지원청교육원 명사로서로봇 (robot) 은다음의의미를지닌다. 기계 인간과비슷한형태를가지고걷기도하고말도하는기계장치. 인조인간. 기계 어떤작업이나조작을자동적으로하는기계장치. 남의지시대로움직이는사람을비유적으로이르는말. 하드웨어와소프트웨어를설계하여설계자가생각하는동적을체계적으로수행하는기계

More information

2009년2학기 임베디드시스템 응용

2009년2학기 임베디드시스템 응용 임베디드시스템기초 (#514115 ) #2. GPIO & Matrix Keypad 한림대학교전자공학과이선우 Short Review #1 General Purpose Input Output (GPIO) Output port Input port Switch 사용방법 2 General Purpose Input Output(GPIO) port 모든 MCU의가장기본적이고중요한주변장치

More information

1. 아두이노 (Arduino) 개요및개발환경구축 아두이노프로젝트는예술가, 디자이너또는학생들이저렴한마이크로컨트롤러를이용해제어장치를쉽게만들수있도록돕기위해마시모밴지 (Massimo Banzi) 와데이비드쿠아르티에예스 (David Cuartielles) 에의해 2005년이탈

1. 아두이노 (Arduino) 개요및개발환경구축 아두이노프로젝트는예술가, 디자이너또는학생들이저렴한마이크로컨트롤러를이용해제어장치를쉽게만들수있도록돕기위해마시모밴지 (Massimo Banzi) 와데이비드쿠아르티에예스 (David Cuartielles) 에의해 2005년이탈 1. 아두이노 (Arduino) 개요및개발환경구축 아두이노프로젝트는예술가, 디자이너또는학생들이저렴한마이크로컨트롤러를이용해제어장치를쉽게만들수있도록돕기위해마시모밴지 (Massimo Banzi) 와데이비드쿠아르티에예스 (David Cuartielles) 에의해 2005년이탈리아의이브레아 (Ivrea) 라는곳에서시작되었다. 아두이노가오픈소스하드웨어로발표된이후마이크로컨트롤러를활용해필요한제어장치를만들고자하는사람들로부터선풍적인기를끌기시작하여

More information

131023_클래식 매뉴얼_최종

131023_클래식 매뉴얼_최종 사용자의 안전을 지키고 제품의 올바른 사용을 위하여 제품 사용 전 반드시 사용설명서를 읽어 충분히 숙지하신 후 사용하여 주시길 바랍니다 사용설명서 주의 사항 제품 설명 LCD 메뉴 설명 기타 정보 전용 뷰어 1 2 3 주의 사항 주의 사항 4 5 주의 사항 주의 사항 6 7 주의 사항 주의 사항 8 9 주의 사항 제품설명 10 상시 녹화 / 이벤트 녹화 자동

More information

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E >

<4D F736F F F696E74202D20332EB5F0C1F6C5D0C8B8B7CEBFCD20B1B8C7F62E > 디지털회로 디지털논리의표현 디지털회로 디지털회로구현 dolicom@naver.com http://blog.naver.com/dolicom 논리 논리게이트 논리게이트 논리게이트 (Logic gate) 또는 로구성된 2 진정보를취급하는논리회 (logic circuit) 일반적으로 2 개이상의입력단자와하나의출력단자 기본게이트 : AND OR NOT 기본게이트로부터

More information

CAN-fly Quick Manual

CAN-fly Quick Manual adc-171 Manual Ver.1.0 2011.07.01 www.adc.co.kr 2 contents Contents 1. adc-171(rn-171 Pack) 개요 2. RN-171 Feature 3. adc-171 Connector 4. adc-171 Dimension 5. Schematic 6. Bill Of Materials 7. References

More information

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis

그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Dis 그래핀투명전극 - OLED 전극활용 중앙대학교화학신소재공학부 김수영 인터넷의급속한발달로다양한형태의정보전달이가능해지고있으며, 이를구현해줄수있는디스플레이분야는매우중요한위치를차지하고있다. 최근몇년간 Liquid Crystal Display(LCD) 기술과 Plasma Display Panel(PDP) 의상용화가급속히이루어지면서기존의브라운관을대체해가고있다. 특히,

More information

BY-FDP-4-70.hwp

BY-FDP-4-70.hwp RS-232, RS485 FND Display Module BY-FDP-4-70-XX (Rev 1.0) - 1 - 1. 개요. 본 Display Module은 RS-232, RS-485 겸용입니다. Power : DC24V, DC12V( 주문사양). Max Current : 0.6A 숫자크기 : 58mm(FND Size : 70x47mm 4 개) RS-232,

More information

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech

OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech OSTSen-PIR100 사용자설명서 Ver 1.1 Onsystech OSTSen-PIR100 V1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-PIR100은 UART 인터페이스를통하여인체모션감지 (PIR) 데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. ( PIR: Pyroelectric

More information

PowerPoint Presentation

PowerPoint Presentation #include int main(void) { int num; printf( Please enter an integer: "); scanf("%d", &num); if ( num < 0 ) printf("is negative.\n"); printf("num = %d\n", num); return 0; } 1 학습목표 을작성하면서 C 프로그램의구성요소에대하여알아본다.

More information

Microsoft Word - AM-SLCD_시리얼 LCD_ 메뉴얼.doc

Microsoft Word - AM-SLCD_시리얼 LCD_ 메뉴얼.doc 영문시리얼 LCD 모듈 ( Model : AM-SLCD) 메뉴얼 뉴테크놀로지컴패니 (N.T.C) 1 AM-SLCD ( 영문시리얼 LCD 모듈 ) 소개 영문 Character LCD 를 Serial 을이용하여터미널모드와커맨드모드로제어할수있다. 터미널모드는시리얼로출력되는 ASCII Code 데이터를 LCD 화면에보여주는기능이다. 커맨드모드는통신커맨드에해당하는데이터를수신하여

More information

untitled

untitled 5V 1 2 - + LM7805 1 3 IN OUT GND POWER SW 1 2 CON 330 2 220uF 0.1 220uF LED 330 330 330 330 330 330 330 330 LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 5V 10K 10K 10K 10K 10K 10K 10K 10K SW0 SW1 SW2 SW3 SW4

More information

2 목차 3 안전을 위한 주의 사항 3 제품 설치 시 주의사항 4 전원 및 AC 어댑터 관련 주의사항 6 제품 이동 시 주의사항 6 제품 사용 시 주의사항 7 화면 잔상 시 주의사항 7 제품 청소 시 주의사항 9 라이선스 18 사용자 설정 18 메인 메뉴 활성 19 사

2 목차 3 안전을 위한 주의 사항 3 제품 설치 시 주의사항 4 전원 및 AC 어댑터 관련 주의사항 6 제품 이동 시 주의사항 6 제품 사용 시 주의사항 7 화면 잔상 시 주의사항 7 제품 청소 시 주의사항 9 라이선스 18 사용자 설정 18 메인 메뉴 활성 19 사 사용자 설명서 LED 모니터 * ** LED 모니터는 LED 백라이트를 사용한 LCD 제품입니다. 사용전에 안전을 위한 주의사항을 반드시 읽고 정확하게 사용하세요. GS-27 Display Accessory 2 목차 3 안전을 위한 주의 사항 3 제품 설치 시 주의사항 4 전원 및 AC 어댑터 관련 주의사항 6 제품 이동 시 주의사항 6 제품 사용 시 주의사항

More information

< 제누이노스타트키트 > 사용설명서 목차 1. Arduino IDE 설치하기 2. Genuino 연결및 Arduino IDE 셋팅하기 3. 센서설명및연결도, 예제소스 1

< 제누이노스타트키트 > 사용설명서 목차 1. Arduino IDE 설치하기 2. Genuino 연결및 Arduino IDE 셋팅하기 3. 센서설명및연결도, 예제소스 1 < 제누이노스타트키트 > 사용설명서 목차 1. Arduino IDE 설치하기 2. Genuino 연결및 Arduino IDE 셋팅하기 3. 센서설명및연결도, 예제소스 1 1. Arduino IDE 설치하기 1) Arduino IDE 다운로드 - 홈페이지주소 : https://www.arduino.cc 접속합니다. Download 를클릭합니다. Windows

More information

목차 Section 2. Oscillator Section 3. Reset Section 4. Architecture Section 5. CPU and ALU Section 6. Memory organization Section 7. Data EEPROM Section

목차 Section 2. Oscillator Section 3. Reset Section 4. Architecture Section 5. CPU and ALU Section 6. Memory organization Section 7. Data EEPROM Section Microchip Mid-Range MCU Family Reference Manual Section 9. I/O 목차 Section 2. Oscillator Section 3. Reset Section 4. Architecture Section 5. CPU and ALU Section 6. Memory organization Section 7. Data EEPROM

More information

Microsoft PowerPoint - CPLD_수정1.pptx

Microsoft PowerPoint - CPLD_수정1.pptx Xilinx ISE Design Suite 13.1 대진대학교전자공학과 1. 프로젝트생성하기 Xilinx ISE Design Suite 13.1 을실행한다. 새로운프로젝트생성을위해 File New Project 를클릭한다. 1. 프로젝트생성하기 New Project Wizard 창에서기본설정을마치고 Next 를클릭한다. 프로젝트이름 프로젝트생성경로 프로젝트설명

More information

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074>

< E20C6DFBFFEBEEE20C0DBBCBAC0BB20C0A7C7D12043BEF0BEEE20492E707074> Chap #2 펌웨어작성을위한 C 언어 I http://www.smartdisplay.co.kr 강의계획 Chap1. 강의계획및디지털논리이론 Chap2. 펌웨어작성을위한 C 언어 I Chap3. 펌웨어작성을위한 C 언어 II Chap4. AT89S52 메모리구조 Chap5. SD-52 보드구성과코드메모리프로그래밍방법 Chap6. 어드레스디코딩 ( 매핑 ) 과어셈블리어코딩방법

More information

누구나 따라하는 아두이노 프로그램 - 초딩도따라하는아두이노프로그램 C 언어를처음부터쉽게공부하고싶은사람을위한무료공개강의노트 저자 : 바람 (eventia@gmail.com) RDUINO 바람 eventia@gmail.com http://winduino.co.kr 누구나 따라하는 아두이노 프로그램 - 초딩도 따라하는 아두이노 프로그램 저자약력 바람 ( 임성국

More information

슬라이드 1

슬라이드 1 임베디드시스템개론 : Arduino 활용 Lecture #9: Motor 제어 2012. 5. 18 by 김영주 강의목차 소형모터개요 트랜지스터를이용한 DC 모터제어 Motor Driver IC를이용한 DC 모터제어 Servo 모터제어 2 3 1. 소형모터 (Motor) 소형모터 (1) 소형모터 전기에너지를회전운동으로변환하는장치모터소형화로다양하게응용되고있음

More information

Microsoft PowerPoint - es-arduino-lecture-09

Microsoft PowerPoint - es-arduino-lecture-09 임베디드시스템개론 : Arduino 활용 Lecture #9: Motor 제어 2012. 5. 13 by 김영주 강의목차 소형모터개요 트랜지스터를이용한 DC 모터제어 Motor Driver IC를이용한 DC 모터제어 Servo 모터제어 2 3 1. 소형모터 (Motor) 소형모터 (1) 소형모터 전기에너지를회전운동으로변환하는장치모터소형화로다양하게응용되고있음

More information

딥러닝 첫걸음

딥러닝 첫걸음 딥러닝첫걸음 4. 신경망과분류 (MultiClass) 다범주분류신경망 Categorization( 분류 ): 예측대상 = 범주 이진분류 : 예측대상범주가 2 가지인경우 출력층 node 1 개다층신경망분석 (3 장의내용 ) 다범주분류 : 예측대상범주가 3 가지이상인경우 출력층 node 2 개이상다층신경망분석 비용함수 : Softmax 함수사용 다범주분류신경망

More information

슬라이드 1

슬라이드 1 -Part3- 제 4 장동적메모리할당과가변인 자 학습목차 4.1 동적메모리할당 4.1 동적메모리할당 4.1 동적메모리할당 배울내용 1 프로세스의메모리공간 2 동적메모리할당의필요성 4.1 동적메모리할당 (1/6) 프로세스의메모리구조 코드영역 : 프로그램실행코드, 함수들이저장되는영역 스택영역 : 매개변수, 지역변수, 중괄호 ( 블록 ) 내부에정의된변수들이저장되는영역

More information

탄소배출량을줄이기위한스마트가로등을만들어보자. 학습목표 * * * * 아두이노를이용하기위한기본프로그래밍을이해할수있다. 생활에도움을주는센서와활용할수있는아두이노프로그래밍을이해할수있다. 일상생활에서탄소배출량줄이기위한노력을할수있다. 탄소배출량을줄이기위한전기절약형스마트가로등을만들

탄소배출량을줄이기위한스마트가로등을만들어보자. 학습목표 * * * * 아두이노를이용하기위한기본프로그래밍을이해할수있다. 생활에도움을주는센서와활용할수있는아두이노프로그래밍을이해할수있다. 일상생활에서탄소배출량줄이기위한노력을할수있다. 탄소배출량을줄이기위한전기절약형스마트가로등을만들 탄소배출량을줄이기위한스마트가로등을만들어보자. 학습목표 * * * * 아두이노를이용하기위한기본프로그래밍을이해할수있다. 생활에도움을주는센서와활용할수있는아두이노프로그래밍을이해할수있다. 일상생활에서탄소배출량줄이기위한노력을할수있다. 탄소배출량을줄이기위한전기절약형스마트가로등을만들수있다. -4- 01. 아두이노만나기 왜 아두이노를사용하기위한기본사항에대 한기능을익힌다. 아두이노

More information

AN2629

AN2629 Tech Note STM32 Nucleo-64 보드 ( 전원, 커낵터 ) Introduction STM32 Nucleo 는 MCU 의최소기능만으로구성된개발보드로연결되지않은나머지핀들을사용자가자유롭게사용할수있도록확장핀커낵터로연결되어있다. STM32 Nucleo 보드의장점은복잡한회로수정없이다른보드나회로를연결하여빠른기능점검과구현을하는데있다. STM32 Nucleo

More information

Microsoft Word - AM-2560PRO_V01 메뉴얼.doc

Microsoft Word - AM-2560PRO_V01 메뉴얼.doc ATMEGA 2560 모듈 ( Model : AM-2560PRO V01) 메뉴얼 ( 주 ) 뉴티씨 (NEWTC) 1 AM-2560Pro 소개 ATMega2560 16AU AVR 마이크로컨트롤러사용 256Kbit (32Kbyte) SRAM 내장 (ISSI IS62C256AL) 2줄짜리 2mm Header Pin이양쪽으로 50 핀씩배치되어있음. MAX3232 내장으로

More information

DVI-CL01 매뉴얼

DVI-CL01 매뉴얼 DVI to Camera Link Interface (DVI-CL01) User s Manual Windows, Windows2000, Windows NT and Windows XP are trademarks of Microsoft. We acknowledge that the trademarks or service names of all other organizations

More information

Physical Computing for Artists & Designers 연세대학교디지털아트학과 Earl Park

Physical Computing for Artists & Designers 연세대학교디지털아트학과 Earl Park Physical Computing for Artists & Designers 연세대학교디지털아트학과 Earl Park 마이크로컨트롤러 Microcontroller LED 를단순히켜는것이아닌깜빡깜빡빛나게하고싶다면, 혹은서서히켜지게하고싶다면, 더나아가모터를원 하는각도로돌리거나, 사람의움직임을감지하고싶다면어떻게할것인가? 이번시간에는이러한모든것을제어할수있는마이크로컨트롤러에대해배워보도록하자.

More information

PCB 설계를 통한 EMC대책

PCB 설계를 통한 EMC대책 1.? 2. (PCB) 3. 4. Cross talk 5. Decoupling Condenser 6. (Pattern) 7. PCB simulation Gerber PCB , dv/dt di/dt... Artwork? Artwork.,. VCC GND 4 VCC GND 1 2 3 1 2 3 1 2 3 Crosstalk(

More information

슬라이드 1

슬라이드 1 UART 해킹기초 mongii@grayhash UART 란? Universal asynchronous receiver/transmitter 범용비동기송 / 수신기 직렬 (Serial) 통신프로토콜 데이터송신 / 수신시각각하나의 LINE 만이용 하드웨어통신규약의한종류 프로토콜이매우간단함 UART 의장점들 프로토콜이단순하다. 관련프로그램구하기가쉽다. Putty,

More information

Arduino- 서보모터 서울과학기술대학교기계시스템디자인공학과 교수김성환

Arduino- 서보모터 서울과학기술대학교기계시스템디자인공학과 교수김성환 Arduino- 서보모터 2017.11.25 서울과학기술대학교기계시스템디자인공학과 교수김성환 1. Arduino 란? (1) 아두이노 (Arduino) 는오픈소스를기반으로한단일보드마이크로컨트롤러. AVR 기반. (2) 가장큰장점은마이크로컨트롤러를쉽게동작시킬수있다는것. 일반적인번거로운과정을피하고, 컴파일된펌웨어를 USB를통해쉽게업로드. (3) 저렴하고, 윈도를비롯해맥

More information

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론

11 강 AVR board & download cable 2009 년도 1 학기 센서개론 Mechatronics Lab 센서개론 강 AVR bard & dwlad cable 2009 년도 학기 A V R 을시작하며 AVR bard Pi cfigurati 64 개의핀으로구성 Vcc(2) Avcc() GND(3) 입출력핀 (8*6+5) Clck, reset, Aref, PEN 한개의핀이두개이상의기능을수행하기도한다. Pi cfigurati I/O PORT A~G 의 7 개의 prt 중 A~E

More information

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx

Microsoft Word - MAI-ISP-STK500_매뉴얼_Ver25.docx MAI-ISP-STK500 사용자매뉴얼 Manual Ver. 2.5 엠에이아이 (M.A.I) www.maicom.co.kr http://cafe.naver.com/at128mai [ 모델이름 ]: MAI-ISP-STK5000 [ 용도 ]: AVR MCU의내부 Flash Memory 및 EEPROM 에 HEX 파일을 Write W / Readd 할수있는기능을하는프로그래머로써,

More information

Microsoft Word - AM-GYRO-P V02 메뉴얼.doc

Microsoft Word - AM-GYRO-P V02 메뉴얼.doc 2 축자이로센서플러스모듈 ( Model : AM-GYRO-P ) 메뉴얼 뉴티씨 (NEWTC) 1 AM-GYRO-P 소개 2축자이로 ( 각속도 ) 센서 (InvenSense사의 IDG-650/IXZ-650) 를이용한 Evaluation 보드 출력신호에 Low Pass Filter ( 약 2kHz) 구현 AM-GYRO 모듈과 AVR 보드와연결하여테스트할수있는보드입니다.

More information

PowerPoint 프레젠테이션

PowerPoint 프레젠테이션 유니티와아두이노를활용한 VR 컨트롤러개발 헬로앱스코딩교육 김영준 공학박사, 목원대학교겸임교수前 Microsoft 수석연구원 splduino@gmail.com http://www.helloapps.co.kr 목차 1. 툴설치 2. 아두이노컨트롤러개발실습 3. 유니티기본명령어실습 4. 유니티 VR 콘텐츠개발실습 5. 블루투스를이용한아두이노컨트롤러연동실습 SW 설치

More information

¼øâÁö¿ª°úÇÐÀÚ¿ø

¼øâÁö¿ª°úÇÐÀÚ¿ø 13 1. 객사(전라북도 유형문화재 제48호) 객사는 영조 35년(1759년)에 지어진 조선 후기의 관청 건물입니다. 원래는 가운데의 정당을 중심으로 왼쪽에 동대청, 오른쪽에 서대청, 앞쪽에 중문과 외문 그리고 옆쪽에 무랑 등으로 이 루어져 있었으나, 지금은 정당과 동대청만이 남아있습니다. 정당에서는 전하 만만세 라고 새 긴 궐패를 모시고 매월 초하루와 보름날,

More information

기술문서 기초부터프로젝트완성까지, 아두이노 첫걸음 을위한 Arduino Bluetooth Car Control Project 작성자 : 인천대학교 OneScore 임승한

기술문서 기초부터프로젝트완성까지, 아두이노 첫걸음 을위한 Arduino Bluetooth Car Control Project 작성자 : 인천대학교 OneScore 임승한 기술문서 2014. 11. 08 기초부터프로젝트완성까지, 아두이노 첫걸음 을위한 Arduino Bluetooth Car Control Project 작성자 : 인천대학교 OneScore 임승한 lim_designer@naver.com Contents 1. Arduino 1.1 아두이노개발환경구성 p.03 아두이노통합개발환경 (IDE) 소프트웨어설치 p.03

More information

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech

OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver of 8 Onsystech OSTSen-MOS100 사용자설명서 Ver 1.1 Onsystech OSTSen-MOS100 Ver 1.1 1 of 8 Onsystech 1. 제품소개 1) 제품특징 OSTSen-MOS100은 UART 인터페이스를통하여토양수분데이터를제공하는센서모듈입니다. 이센서모듈은사용자가편리하게다양한분야에적용할수있도록소형으로제작되었습니다. PC에서는 OSTSen-MOS100에서제공하는토양수분데이터를

More information

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770>

<322EBCF8C8AF28BFACBDC0B9AEC1A6292E687770> 연습문제해답 5 4 3 2 1 0 함수의반환값 =15 5 4 3 2 1 0 함수의반환값 =95 10 7 4 1-2 함수의반환값 =3 1 2 3 4 5 연습문제해답 1. C 언어에서의배열에대하여다음중맞는것은? (1) 3차원이상의배열은불가능하다. (2) 배열의이름은포인터와같은역할을한다. (3) 배열의인덱스는 1에서부터시작한다. (4) 선언한다음, 실행도중에배열의크기를변경하는것이가능하다.

More information